APPLIED MATERIALS INC /DE - Annual Report: 2012 (Form 10-K)
UNITED STATES SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549
Form 10-K
(Mark one)
þ | ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
For the fiscal year ended October 28, 2012
or
¨ | TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
For the transition period from to
Commission file number 000-06920
Applied Materials, Inc.
(Exact name of registrant as specified in its charter)
Delaware | 94-1655526 |
(State or other jurisdiction of incorporation or organization) | (I.R.S. Employer Identification No.) |
3050 Bowers Avenue, P.O. Box 58039 Santa Clara, California | 95052-8039 (Zip Code) |
(Address of principal executive offices) | |
Registrant’s telephone number, including area code:
(408) 727-5555
Securities registered pursuant to Section 12(b) of the Act:
Title of Each Class | Name of Each Exchange on Which Registered |
Common Stock, par value $.01 per share | The NASDAQ Stock Market LLC |
Securities registered pursuant to Section 12(g) of the Act:
None
Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes þ No ¨
Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes ¨ No þ
Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes þ No ¨
Indicate by check mark whether the registrant has submitted electronically and posted on its corporate Web site, if any, every Interactive Data File required to be submitted and posted pursuant to Rule 405 of Regulation S-T (§232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit and post such files). Yes þ No ¨
Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K (§229.405 of this chapter) is not contained herein, and will not be contained, to the best of registrant’s knowledge, in definitive proxy or information statements incorporated by reference in Part III of this Form 10-K or any amendment to this Form 10-K. ¨
Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, or a smaller reporting company. See the definitions of “large accelerated filer,” “accelerated filer” and “smaller reporting company” in Rule 12b-2 of the Exchange Act. (Check one):
Large accelerated filer þ | Accelerated filer ¨ | Non-accelerated filer ¨ | Smaller reporting company ¨ | |||
(Do not check if a smaller reporting company) | ||||||
Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Act). Yes ¨ No þ
Aggregate market value of the voting stock held by non-affiliates of the registrant as of April 29, 2012, based upon the closing sale price reported by the NASDAQ Global Select Market on that date: $15,382,534,908
Number of shares outstanding of the registrant’s Common Stock, $.01 par value, as of November 21, 2012: 1,197,659,704
DOCUMENTS INCORPORATED BY REFERENCE:
Portions of the definitive Proxy Statement for Applied Materials, Inc.’s 2013 Annual Meeting of Stockholders are incorporated by reference into Part III of this Form 10-K.
Caution Regarding Forward-Looking Statements
Certain information in this Annual Report on Form 10-K (report or Form 10-K) of Applied Materials, Inc. and its subsidiaries (Applied or the Company), including “Management’s Discussion and Analysis of Financial Condition and Results of Operations” in Item 7, is forward-looking in nature. All statements in this report, including those made by the management of Applied, other than statements of historical fact, are forward-looking statements.
Forward-looking statements may contain words such as “may,” “will,” “should,” “could,” “would,” “expect,” “plan,” “anticipate,” “believe,” “estimate,” “potential” and “continue,” the negative of these terms, or other comparable terminology. Examples of forward-looking statements include statements regarding Applied’s future financial or operating results, as well as its plans or expectations regarding cash flows and cash deployment strategies, declaration of dividends, share repurchases, business strategies and priorities, costs and cost controls, products, competitive positions, future operations, research and development, acquisitions and joint ventures, growth opportunities, the nature and impact of restructuring activities, backlog, working capital, liquidity, investment portfolio and policies, taxes, supply chain, manufacturing, properties, legal proceedings and claims, customer demand and spending, end-use demand, market and industry trends and outlooks, and general economic conditions. These forward-looking statements are based on management’s estimates, projections and assumptions as of the date hereof and include the assumptions that underlie such statements. Any expectations based on these forward-looking statements are subject to risks and uncertainties and other important factors, including those discussed in Part I, Item 1A, “Risk Factors,” below and elsewhere in this report. These and many other factors could affect Applied’s future financial condition and operating results and could cause actual results to differ materially from expectations based on forward-looking statements made in this document or elsewhere by Applied or on its behalf. Applied undertakes no obligation to revise or update any forward-looking statements.
The following information should be read in conjunction with the Consolidated Financial Statements and the accompanying Notes to Consolidated Financial Statements included in this report.
2
APPLIED MATERIALS, INC.
FORM 10-K FOR THE FISCAL YEAR ENDED OCTOBER 28, 2012
TABLE OF CONTENTS
Page | ||
PART I | ||
Item 1: | ||
Item 1A: | ||
Item 1B: | ||
Item 2: | ||
Item 3: | ||
Item 4: | ||
PART II | ||
Item 5: | ||
Item 6: | ||
Item 7: | ||
Item 7A: | ||
Item 8: | ||
Item 9: | ||
Item 9A: | ||
Item 9B: | ||
PART III | ||
Item 10: | ||
Item 11: | ||
Item 12: | ||
Item 13: | ||
Item 14: | ||
PART IV | ||
Item 15: | ||
3
PART I
Item 1: | Business |
Incorporated in 1967, Applied, a Delaware corporation, provides manufacturing equipment, services and software to the global semiconductor, flat panel display, solar photovoltaic (PV) and related industries. Applied’s customers include manufacturers of semiconductor wafers and chips, flat panel liquid crystal displays (LCDs), solar PV cells and modules, and other electronic devices. These customers may use what they manufacture in their own end products or sell the items to other companies for use in advanced electronic components. Applied’s fiscal year ends on the last Sunday in October.
Applied is one of the world’s largest semiconductor fabrication equipment suppliers based on revenue, with the capability to provide global deployment and support services. Applied also is a leading supplier of LCD fabrication equipment to the flat panel display industry, and a leading supplier of solar PV manufacturing systems to the solar industry, based on revenue.
Applied operates in four reportable segments: Silicon Systems Group, Applied Global Services, Display, and Energy and Environmental Solutions. Applied manages its business based upon these segments. A summary of financial information for each reportable segment is found in Note 16 of Notes to Consolidated Financial Statements. A discussion of factors that could affect operations is set forth under “Risk Factors” in Item 1A, which is incorporated herein by reference.
In November 2011, Applied completed the acquisition of Varian Semiconductor Equipment Associates, Inc. (Varian), the leading supplier of ion implantation equipment to the semiconductor and solar industries. The acquisition broadened Applied's extensive portfolio of technologies for chip and solar module manufacturing. The acquired business is included in consolidated results of operations and the results of the Silicon Systems Group and Applied Global Services segments.
Net sales by reportable segment for the past three fiscal years were as follows:
2012 | 2011 | 2010 | ||||||||||||||||||
(In millions, except percentages) | ||||||||||||||||||||
Silicon Systems Group | $ | 5,536 | 64 | % | $ | 5,415 | 51 | % | $ | 5,304 | 56 | % | ||||||||
Applied Global Services | 2,285 | 26 | % | 2,413 | 23 | % | 1,865 | 20 | % | |||||||||||
Display | 473 | 5 | % | 699 | 7 | % | 899 | 9 | % | |||||||||||
Energy and Environmental Solutions | 425 | 5 | % | 1,990 | 19 | % | 1,481 | 15 | % | |||||||||||
Total | $ | 8,719 | 100 | % | $ | 10,517 | 100 | % | $ | 9,549 | 100 | % | ||||||||
Silicon Systems Group Segment
The Silicon Systems Group segment develops, manufactures and sells a wide range of manufacturing equipment used to fabricate semiconductor chips, also referred to as integrated circuits (ICs). Most chips are built on a silicon wafer base and include a variety of circuit components, such as transistors and other devices, that are connected by multiple layers of wiring (interconnects). Applied offers systems that perform most of the primary processes used in chip fabrication, including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), electrochemical deposition (ECD), rapid thermal processing (RTP), ion implantation, chemical mechanical planarization (CMP), wet cleaning, and wafer metrology and inspection, as well as systems that etch or inspect circuit patterns on masks used in the photolithography process. Applied’s semiconductor manufacturing systems are used by integrated device manufacturers and foundries to build and package memory, logic and other types of chips.
Most chips are currently fabricated using 32 nanometer (nm) and larger linewidth dimensions, although Applied is also working with customers on leading-edge technology for advanced nodes using 28nm and smaller dimensions. To build a chip, the transistors, capacitors and other circuit components are first created on the surface of the wafer by performing a series of processes to deposit and selectively remove portions of successive film layers. Similar processes are then used to build the layers of wiring structures on the wafer. As the density of the circuit components increases to enable greater computing capability in the same or smaller physical area, the complexity of building the chip also increases, necessitating more process steps to form smaller structures and more intricate wiring schemes. A typical, simplified process sequence for building the wiring or interconnect portion of a chip involves initially depositing a dielectric film layer onto the base layer of circuit components using a CVD system. An etch system is then used to create openings and patterns in the dielectric layer. To form the metal interconnects, these openings and patterns are filled with conducting material using PVD and ECD technologies. A CMP step then polishes the wafer to achieve a flat surface. Additional deposition, etch and CMP steps are then performed to build up the layers needed to complete the interconnection of the circuit elements. Advanced chip designs require more than 500 steps involving these and other processes to complete the manufacturing cycle.
4
Today's advanced interconnects are made using copper as the main wiring material. Copper has low resistance and can carry a large amount of current in a small area, which allows signals to travel quickly. Applied is the leading supplier of systems for manufacturing copper-based interconnects, including equipment for depositing, etching and planarizing these multi-layer structures. In 2012, the Company introduced the Applied Endura Amber™ PVD system, which uses innovative copper reflow technology to achieve rapid, void-free fill of interconnect structures at virtually any device node.
To increase the speed of interconnect signals even further, low dielectric constant (low k) films are used to insulate the copper wiring. Applied also leads the industry in providing systems for depositing low k dielectric films. In 2012, the Company launched the Applied Producer® Onyx™ process, an innovative film treatment that optimizes the molecular structure of low k films to enable higher device performance and longer battery life.
The transistor is another key area of the chip where semiconductor manufacturers are improving their device designs to enhance performance. Applied has the industry’s largest portfolio of technically advanced products for building smaller and faster transistors. One method of enhancing chip performance is strain engineering, a technique that stretches or compresses the space between atoms, allowing electrical current to flow more quickly. Multiple strain films are typically used in advanced devices since they have an additive effect on increasing transistor speed. Applied has a comprehensive portfolio of systems to enable these applications using CVD and epitaxial deposition technologies.
Major chipmakers are integrating new high dielectric constant (high-k) and metal materials and processes in their transistor gate structures to increase chip performance and reduce power consumption. Applied has a comprehensive portfolio of fully characterized processes for building these high-k/metal gates. These solutions include an integrated dielectric gate stack tool that combines four critical processes in a single system, a portfolio of metallization technologies using ALD and PVD, and an innovative high temperature etch system.
To address the need for higher performance in a smaller space driven by new consumer products, a new type of chip packaging is emerging, known as three-dimensional (3D) ICs. Providing greater functionality in a smaller footprint, 3D ICs stack multiple chips together and electrically connect them using deep holes, called through-silicon via (TSV) structures. Applied has the industry’s most comprehensive line of production-proven systems and processes required for the majority of advanced packaging manufacturing steps, including etch, CVD, PVD, ECD, wafer cleaning and CMP systems. In 2012, the Company introduced the Applied Producer Optiva™ CVD system, which employs ultra-low process temperatures to deposit conformal insulating liner films for TSVs. The Optiva system is also used to manufacture advanced image sensors used in smartphones, tablet PCs and high-end cameras, covering the microlens with a tough, thin, transparent film layer that boosts the low-light performance of the sensor while improving its durability.
Some chip manufacturers have announced that they will be employing new manufacturing methods to enhance chip performance, one of which is based on new transistor designs that replace the traditional two-dimensional gate with a thin 3D gate. This new structure, targeted for the 22nm technology node and below, improves the performance and energy efficiency of the chip. The Applied Centura Conforma™ system uses conformal plasma doping technology to modify the electrical properties of 3D and planar transistor structures. Chip manufacturers are also beginning to employ 3D architectures in advanced memory chips to provide higher-density storage capability. These structures require the precise etching of exceptionally deep and narrow structures. In 2012, the Company launched its Applied Centura® Avatar™ dielectric etch system that can etch holes and trenches with up to 80:1 depth-to-width aspect ratios.
Most of Applied’s semiconductor equipment products are single-wafer systems with multiple process chambers attached to a base platform. This enables each wafer to be processed separately in its own environment, allowing precise process control, while the system’s multiple chambers enable simultaneous, high productivity manufacturing. Applied sells most of its single-wafer, multi-chamber systems on six basic platforms: the Endura®,, Centura®, Producer®, Raider®, VIISta® and Vantage® platforms. These platforms support ALD, CVD, ECD, PVD, etch, ion implantation, and RTP technologies.
Over time, the semiconductor industry has migrated to increasingly larger wafers to build chips. The predominant or common wafer size used today for volume production of advanced chips is 300 millimeter (mm), or 12-inch, wafers. Applied offers a comprehensive range of 300mm systems through its Silicon Systems Group segment. Applied also offers earlier-generation 200mm systems, as well as products and services to support all of its systems, which are reported under its Applied Global Services segment.
5
The following summarizes the portfolio of products and their associated process technology areas reported under the Silicon Systems Group segment.
Deposition
Deposition is a fundamental step in fabricating a chip. During deposition, layers of dielectric (an insulator), barrier, or electrically conductive (typically metal) films are deposited or grown on a wafer. Applied provides equipment to perform four types of deposition: ALD, CVD, ECD and PVD. In addition, Applied’s RTP systems can be used to perform certain types of dielectric deposition.
Atomic Layer Deposition
ALD is an advanced technology in which atoms are deposited one layer at a time to build chip structures. This technology enables customers to fabricate thin films of either conducting or insulating material with uniform coverage in nanometer-sized structures. One of the most critical areas of the transistor is its gate, which is built by depositing layers of dielectric films. At the 22nm node and below, these film layers are so thin that they must be atomically engineered. The Applied Centura Integrated Gate Stack system features advanced ALD technology that builds ultrathin high-k film layers less than 2nm in thickness — about one hundred thousandth the width of a human hair.
Chemical Vapor Deposition
CVD is used to deposit dielectric and metal films on a wafer. During the CVD process, gases that contain atoms of the material to be deposited react on the wafer surface, forming a thin film of solid material. Films deposited by CVD may be silicon oxide, single-crystal epitaxial silicon, amorphous silicon, silicon nitride, dielectric anti-reflective coatings, low k dielectric (for highly-efficient insulating materials), aluminum, titanium, titanium nitride, polysilicon, tungsten, refractory metals or silicides. Applied offers the following CVD products and technologies:
The Applied Producer CVD platform — This high-throughput platform features Twin-Chamber® modules that have two single-wafer process chambers per unit. Up to three Twin-Chamber modules can be mounted on each Producer platform, giving it a simultaneous processing capacity of six wafers. Many dielectric CVD processes can be performed on this platform. The highest productivity model of this system is the Applied Producer GT, which features fast wafer handling performance and compact design.
Low k Dielectric Films — Low k dielectric materials are used in copper-based chip designs to further improve interconnect speed. Using conventional CVD equipment, the Applied Producer Black Diamond® family of low k systems provides customers with a proven, cost-effective way to integrate a variety of low k films into advanced interconnect structures. The Company's latest third-generation low k technologies are featured on the Applied Producer Black Diamond 3 system and Applied Producer Nanocure 3 system. Together, these products are designed to enable smaller, higher performance and more power-efficient devices at 22nm and below.
Lithography-Enabling Solutions — Applied offers several technologies on the Producer system to help chipmakers extend their current 193nm lithography tools, including a line of Applied APF® (advanced patterning film) films and Applied DARC® (dielectric anti-reflective coating) films. Together, they provide a film stack with the precise dimensional control and compatibility needed to cost-effectively pattern nano-scale features without additional integration complexity.
Gap Fill Films — There are many steps during the chipmaking process in which very small and deep, or high aspect ratio (HAR), structures must be filled void-free with a dielectric film. Many of these applications include the deposition of silicon oxides in substrate isolation structures, contacts, and interconnects. Applied's most advanced gap fill system is its Applied Producer Eterna™ FCVD system. Targeted for 20nm and below chips, the Eterna system delivers a liquid-like film that flows freely into virtually any structure to provide void-free dielectric fill.
Strain Engineering Solutions — The Applied Producer HARP™ system plays a key role in enhancing transistor performance, enabling chipmakers to boost chip speed by depositing strain-inducing dielectric films. Offering the industry’s first integrated stress nitride deposition and ultraviolet (UV) cure solution, the Applied Producer Celera CVD delivers benchmark levels of high-stress tensile silicon nitride films. The Company also offers the Applied Centura SiNgenPlus low pressure CVD system for low temperature silicon nitride films. Used together, and in conjunction with silicon germanium (SiGe) films using Applied’s epitaxial deposition technologies, these systems can provide additive strain engineering benefits.
6
Through-Silicon Via Films — Applied has a comprehensive portfolio of products for TSV fabrication, including the Applied Producer InVia™ system. This product uses a unique process to deposit the critical oxide liner film layer in HAR TSV structures, enabling robust electrical isolation of the TSV, which is vital for reliable device performance. For applications where higher temperatures can damage the manufacturing process, the Applied Producer Avila™ CVD system and Applied Producer Optiva CVD system allow high quality dielectric film deposition at stable substrate temperatures at a low cost of ownership.
Epitaxial Deposition — Epitaxial silicon (epitaxy or epi) is a layer of pure silicon grown in a uniform crystalline structure on the wafer to form a high quality base for the device circuitry. Epi technology is used in an increasing number of integrated circuit devices in both the wafer substrate and transistor areas of a chip to enhance speed. The Applied Centura Epi system integrates pre- and post-epi processes on the same system to improve film quality and reduce production costs. This system is also used for SiGe epi technology, which reduces power usage and increases speed in certain types of advanced chips. For emerging transistor designs, the Applied Centura RP Epi system offers selective epi processes to enable faster transistor switching through strain engineering techniques.
Polysilicon Deposition — Polysilicon is a type of silicon used to form portions of the transistor structure within the integrated circuit device. The Applied Centura Polygen™ LPCVD system is a single-wafer, multi-chamber product that deposits thin polysilicon films at high temperatures to create transistor gate structures. To address the challenging requirements of shrinking gate dimensions, the Applied Centura DPN Gate Stack system integrates chambers for decoupled plasma nitridation (DPN), RTP anneal, and polysilicon deposition on one platform to enable superior film quality and material properties.
Tungsten Deposition — Tungsten is used in the contact area of a chip that connects the transistors to the wiring circuitry. In aluminum-based devices, tungsten is also used in the structures that connect the multiple layers of aluminum wiring. Applied has two products for depositing tungsten: the Applied Centura Sprint® Tungsten CVD system for 90nm and below devices and the Applied Centura iSprint ALD/CVD system for more advanced applications. The latter product combines ALD technology and CVD chambers on the same platform.
Electrochemical Deposition
Electrochemical deposition is a process by which metal atoms from a chemical fluid (an electrolyte) are deposited on the surface of an immersed object. Its main application in the semiconductor industry is to deposit copper in interconnect wiring structures. This process step follows the deposition of barrier and seed layers which prevent the copper from contaminating other areas of the device, improve the adhesion of the copper film and enable electrodeposition to occur. Applied offers two ECD systems: the Applied Raider GT ECD system for electroplating advanced chip interconnect structures, and the Applied Raider S ECD system for advanced TSV packaging applications.
Physical Vapor Deposition
PVD is a physical process in which atoms of a gas, such as argon, are accelerated toward a metal target. The metal atoms chip off, or sputter away, and are then deposited on the wafer. The Applied Endura PVD system offers a broad range of advanced metal deposition processes, including aluminum, aluminum alloys, cobalt, titanium/titanium nitride, tantalum/tantalum nitride, tungsten/tungsten nitride, nickel, vanadium and copper. Introduced 22 years ago, the Company's Applied Endura platform is the most successful metal deposition system in the history of the semiconductor industry.
The Applied Endura CuBS (copper barrier/seed) PVD system is widely used by customers for fabricating copper-based chips. Using PVD technology, the system deposits a tantalum-based barrier film that prevents copper material from entering other areas of the device and then a copper seed layer that primes the structure for the subsequent deposition of bulk copper. The Applied Endura CuBS RFX PVD system extends cost-effective CuBS technology to the 22nm node. The Applied Endura Avenir™ RF PVD system sequentially deposits the multiple metal film layers that form the heart of the industry’s new, faster, metal gate transistors. The Applied Endura iLB PVD/ALD system advances the state-of-the-art in ALD technology, enabling customers to shrink their speed-critical contact structures for 20nm and below devices.
Applied’s Endura system has also been used for many years in back-end applications to deposit metal layers before final bump or wire bonding packaging steps are performed. Additionally, the Applied Charger™ UBM PVD system, which is specifically designed for under-bump metallization (UBM) and other back-end processes, features linear architecture for reliable performance and very high productivity at a low cost per wafer.
7
Etch
Etching is used many times throughout the integrated circuit manufacturing process to selectively remove material from the surface of a wafer. Before etching begins, the wafer is coated with a light-sensitive film, called photoresist. A photolithography process then projects the circuit pattern onto the wafer. Etching removes material only from areas dictated by the photoresist pattern. Applied offers a wide range of systems for etching dielectric, metal, and silicon films to meet the requirements of advanced processing.
Applied’s Producer Etch system utilizes the Twin-Chamber Producer platform to target cost-sensitive dielectric etch applications. To address advanced dielectric etch applications, the Applied Centura Enabler® E5 Etch system enables customers to create the 40:1 HAR contact features that are critical to the yield and performance of 32nm and below DRAM and Flash memory chips. The Applied Centura Carina™ system uses innovative, high-temperature technology to deliver the etch capability essential for scaling logic and memory devices with high-k/metal gates at 45nm and below.
For etching silicon, the Applied Centris AdvantEdge™ Mesa™ system features eight process chambers for high wafer output and proprietary system intelligence software to assure every process on every chamber precisely matches. The system also saves on power, water and gas consumption, helping customers to lower operating costs and support their sustainable manufacturing initiatives. The Applied Centura Mariana™ Trench Etch system provides customers with the capability to scale DRAM capacitors by enabling the etching of 80:1 aspect ratio structures. The Applied Centura Silvia™ system is specifically designed for etching small, deep holes for TSV applications in 3D-ICs. For etching metals, the Applied Opus™ AdvantEdge Metal Etch system uses an optimized 5-chamber platform configuration that enables customers to extend aluminum interconnect technology and productivity for flash and DRAM memory applications.
Rapid Thermal Processing
RTP is a process in which a wafer is subjected to rapid bursts of intense heat that can take the wafer from room temperature to more than 1,000 degrees Celsius in less than 10 seconds. A rapid thermal process is used mainly for annealing, which modifies the properties of deposited films. The Applied Centura Radiance®Plus and Applied Vantage RadOx™ RTP systems feature advanced RTP technology with differing platform designs. While the multi-chamber Centura platform offers exceptional process flexibility, the streamlined two-chamber Vantage platform is designed for dedicated high-volume manufacturing. These single-wafer RTP systems are also used for growing high quality oxide and oxynitride films, deposition steps that traditional large batch furnaces can no longer achieve with the necessary precision and control.
Applied’s latest RTP systems address the critical need for controlling wafer temperature to increase chip performance and yield. The laser-based Applied Vantage Astra™ millisecond anneal system abruptly raises the surface temperature of the wafer locally to modify material properties at the atomic level. The Applied Vantage Vulcan system, the first RTP system to heat the wafer entirely from the backside, brings a new level of precision and control to the anneal process, allowing chipmakers to produce more high performance devices per wafer.
Ion Implantation
Ion implantation is a key technology for forming transistors and is used many times during chip fabrication. During ion implantation, wafers are bombarded by a beam of electrically-charged ions, called dopants, which change the electrical properties of the exposed surface films. These dopants are accelerated to an energy that permits them to penetrate the substrate at a precise quantity and depth. Dopant concentration is determined by controlling the number of ions in the beam and the number of times the wafer passes through the beam; the depth of the dopants is determined by the energy of beam. Ion implantation systems may also be used in other areas of IC manufacturing to modify the material properties of the semiconductor devices, as well as in manufacturing crystalline-silicon solar cells.
As a result of Applied's acquisition of Varian, Applied now offers a comprehensive line of single-wafer ion implantation equipment that covers the entire energy and current range required to manufacture advanced devices. The VIISta 3000XP implanter delivers the angle precision required for advanced high-energy applications, while the VIISta 900XP implanter provides medium current doping and the industry's highest implant productivity. The VIISta PLAD implanter enables manufacturers to rapidly implant high dopant concentrations over the entire wafer using a low-energy process that preserves sensitive circuit features in next-generation devices. In 2012, Applied introduced the VIISta Trident high current ion implanter that provides the precise dose and angle control needed for advanced transistor structures.
With the acquisition of Varian, Applied also acquired ion implantation technology for c-Si cell manufacturing. The Applied SolionTM ion implanter provides unique advantages over traditional thermal diffusion doping to create higher efficiency p-n junctions, which are the electronic pathways of the cell. The Solion system is based on the successful VIISta ribbon beam architecture and provides the precision and process control needed to support advanced solar cell structures.
8
Chemical Mechanical Planarization
The CMP process removes material from a wafer to create a flat (planarized) surface. This process allows subsequent photolithography patterning steps to occur with greater accuracy and enables film layers to build with minimal height variations. Applied has led the industry with its 300mm Applied Reflexion® LK system, with features such as integrated cleaning, film measurement and process control capabilities. Applied’s latest CMP product, the Applied Reflexion GT system, has an innovative dual-wafer design that increases performance while lowering system cost of ownership in fabricating copper interconnects and tungsten contacts.
Surface Preparation
Cleaning the surface of the wafer is critical to the adhesion and quality of films that are subsequently deposited in the chip fabrication process. Applied offers several surface preparation systems. The Applied Raider SP tool can incorporate several types of cleaning methods, including spray, vapor, immersion, megasonics, and anneal technologies, with automated single or dual-side wafer processing for high volume manufacturing.
Metrology and Wafer Inspection
Applied offers several products for measuring features and inspecting defects on the wafer during various stages of the fabrication process. These systems enable customers to characterize and control critical dimension (CD) and defect issues, especially at advanced generation technology nodes.
Critical Dimension and Defect Review Scanning Electron Microscopes (CD-SEMs and DR-SEMs)
Scanning electron microscopes (SEMs) use an electron beam to form images of microscopic features of a patterned wafer at extremely high magnification. Applied’s SEM products provide customers with full automation, along with the high accuracy and sensitivity needed for measuring very small CDs. The Applied VeritySEM® 4i metrology system uses proprietary SEM imaging technology to enable precise control of the lithography and etching processes, measuring CDs at a precision of less than 0.3nm. Applied’s OPC Check™ software for the VeritySEM system performs automated qualification of OPC-based (optical proximity correction) chip designs, significantly reducing mask (see Mask Making section below) verification time over conventional manual methods.
DR-SEMs review defects on the wafer (such as particles, scratches or residues) that are first located by a defect detection system and then classify the defects to identify their source. The high-throughput, fully automatic Applied SEMVision™ Defect Analysis products enable customers to use this technology as an integral part of their production lines to analyze defects as small as 30nm with industry-leading throughput.
Wafer Inspection
Using deep ultraviolet (DUV) laser-based technology, defects can be detected on patterned wafers (wafers with printed circuit images) as they move between processing steps. Defects include particles, open circuit lines, and shorts between lines. The Applied UVision® 5 wafer inspection system detects yield-limiting defects in the critical patterning layers of 22nm and below logic and memory devices. Applied also offers the Applied DFinder system, the first darkfield wafer inspection system to use DUV laser scanning to detect particles as small as 40nm in interconnect layers.
Mask Making
Masks are used by photolithography systems to transfer microscopic circuit designs onto wafers. Since an imperfection in a mask may be replicated on the wafer, the mask must be virtually defect-free. Applied provides systems for etching and inspecting masks.
Applied's Tetra™ line of systems has been used by mask makers worldwide to etch the majority of high-end masks over the last five years. The Applied Centura Tetra EUV (extreme ultraviolet) Advanced Reticle Etch system is an advanced etch tool for fabricating leading-edge masks at 22nm and below. The Applied Aera3™ Mask inspection system also addresses the challenges of detecting defects on 22nm masks, using sophisticated aerial imaging technology that allows users to immediately see how the pattern on the mask will appear on the wafer, revealing only the defects most likely to print and significantly reducing inspection time. These systems also address the challenge of fabricating emerging EUV lithography masks.
9
Applied Global Services Segment
The Applied Global Services segment encompasses products and services designed to improve the performance and productivity, and reduce the environmental impact, of the fab operations of semiconductor, LCD, and solar PV manufacturers. The in-depth expertise and best known methods of Applied’s extensive global support infrastructure enable Applied to continuously support customers’ production requirements. Trained customer engineers and process support engineers are deployed in more than a dozen countries. These engineers are usually located at or near customers’ fab sites and service over 33,000 installed Applied systems, as well as non-Applied systems. Applied offers the following general types of services and products under the Applied Global Services segment:
Fab and Equipment Services — Applied offers a portfolio of fab-wide operations services to maintain and optimize customers’ fabrication facilities. Applied Performance Services offers customers comprehensive equipment support with performance-based pricing and predictable costs to enable improved cost of ownership. Included in this program is Applied’s ExpertConnect remote diagnostic capability, providing expert support around the clock. In addition to these value-added services, Applied offers its FabVantage™ team of technology, equipment and engineering experts who provide key insights to helping customers solve some of their most difficult manufacturing challenges.
Applied also offers its Total Parts Management program with spare parts manufactured to Applied’s strict technical specifications and quality standards.
In addition, Applied offers a wide range of products and services to extend the productive life of 200mm semiconductor fabs, including new and remanufactured 200mm equipment, system enhancements and fab transition services. Designed to maximize productivity and lower cost of ownership, these products also assist customers in implementing green manufacturing solutions. Applied’s 200mm systems are available in a broad range of production-proven technologies, including CVD, PVD, etch, implant, RTP, CMP, epitaxy, metrology, and inspection tools.
Automation Systems — Applied offers automated factory-level and tool-level control software systems for semiconductor, LCD, and solar PV manufacturing facilities. These enterprise solutions include manufacturing execution systems (MES) to automate the production of wafers and LCD and solar substrates, advanced process control systems, and scheduling and materials handling control systems. The Applied SmartFactory™ MES software is a factory automation solution designed to help accelerate the production ramp of emerging technologies for solar PV, chip-packaging, and light-emitting diode (LED) applications.
Applied also offers computerized maintenance management systems, performance tracking, and modeling and simulation tools for improving asset utilization. Applied’s E3™ equipment engineering system solution, for example, integrates all critical equipment automation and process control components. The Applied SmartSched™ system is the semiconductor industry’s first predictive scheduling solution for optimizing the movement of wafers during the lithography process to reduce cycle time and increase tool utilization.
Thin Film Solar - As a result of Applied's restructuring of its Energy and Environmental Solutions segment in fiscal 2010, the Company discontinued sales to new customers of its fully-integrated SunFab™ thin film solar production lines, but continues to support existing SunFab customers with services, upgrades and capacity increases through the Applied Global Services segment. Thin film solar technologies are suitable for large-scale applications, such as utility scale solar farms and commercial rooftops, where space is not a constraint.
Display Segment
Applied’s products for manufacturing thin film transistor liquid crystal displays (TFT- LCDs) for televisions, personal computers (PCs), tablet PCs, smartphones, and other consumer-oriented electronic applications are reported under its Display segment. While similarities exist between the technologies utilized in chipmaking and LCD fabrication, the most significant differences are in the size and composition of the substrate. Substrates used to manufacture LCD panels can be more than 120 times larger in area than 300mm wafers and are made of glass, while wafers used in semiconductor fabrication are made of silicon.
Applied supplies a wide range of systems that process and test different glass substrate sizes. To meet consumer demand for larger, more cost-effective LCD TVs, Applied’s latest generation (Gen) 10 systems can process substrates sized at approximately 2.85 x 3.05 meters, with each substrate enabling the production of up to six 65-inch LCD TV screens.
Applied is also extending its core LCD technology to enable ultra-high resolution displays for next-generation smartphones, tablet PCs, and organic LED (OLED) TVs. These higher-performance displays are fabricated using newer materials such as low-temperature polysilicon (LTPS) and metal oxide films in the transistor layer of the panel to gain significantly faster switching speeds. In 2012, Applied added to its line of plasma-enhanced CVD (PECVD) systems for depositing LTPS films with the AKT-15K PX, AKT-25K PX, and AKT-55K PX systems. These CVD systems are offered for a range of display substrate sizes to enable manufacturers to achieve economies of scale.
10
In 2012, Applied also introduced technology for fabricating advanced metal oxide-based transistors in displays. The AKT-PiVot™ PVD system, which features rotary cathode array technology, deposits indium gallium zinc oxide (IZGO) film to form the transistor channel. The AKT-PECVD system is used to deposit the dielectric film needed to insulate the transistor gate. Together, these systems offer a cost-effective solution for producing smaller, faster switching pixels to create higher resolution screens.
For manufacturing the color filter of LCD panels, Applied offers the AKT-NEW ARISTO™ system for transparent conductive oxide film deposition. Providing customers with new levels of productivity and flexibility, the Applied AKT-AristoTwin system is used for manufacturing touch-enabled displays. The system features two independent processing tracks on a single system, enabling customers to achieve 50% more capacity using half the manufacturing space.
To complement these systems, Applied also offers a line of electron beam array test (EBT) systems for testing substrates during production for defective pixels and other imperfections, including the Gen-10 AKT-90K EBT product. Featuring one of the industry’s fastest and most accurate pixel test technologies with the lowest operating cost, the EBT systems’ non-contact test technology enables the safe testing of high-value LCD TV panels without damaging or scratching the display.
Energy and Environmental Solutions Segment
The Energy and Environmental Solutions segment includes manufacturing systems to produce products for the generation and conservation of energy. To increase the conversion efficiency and yields of solar PV devices and help reduce the cost per watt of solar-generated electricity, Applied offers manufacturing solutions for wafer-based crystalline silicon (c-Si) applications.
Applied’s portfolio of solar PV wafer and cell fabrication technologies has made it one of the leading suppliers of c-Si equipment worldwide in terms of revenue. In addition to innovative technology, these systems offer key manufacturing benefits to customers in high productivity, advanced ultra-thin wafer handling, and extensive automation.
Wafer manufacturing — Applied’s precision wafering systems crop and square silicon ingots into bricks and slice silicon bricks into thin wafers. These wafers are subsequently processed by cell manufacturing systems to create the PV cells used in making c-Si solar panels. The Applied HCT wire saw cropper and squarer systems cut silicon ingots into bricks with high productivity and minimal silicon loss. The Applied HCT B5 wire saw was designed for large load capacity in volume manufacturing and has a unique four-position architecture that provides load flexibility for optimizing yield and productivity.
Cell manufacturing — Applied offers a comprehensive line of automated metallization and test systems for c-Si cell manufacturing with its Applied Baccini products. These systems include high-precision printing capability for increasing the efficiency of c-Si solar cells. The latest metallization system is the Applied Baccini Pegaso™ platform for next-generation solar cell manufacturing. In addition to increased yield and output, a key feature of the Pegaso system is its proprietary “smart” capabilities that bring a new level of precision and control to the cell manufacturing process. The system’s modular architecture allows customers to rapidly add modules for additional processing capability, while reducing the time, cost, and risk of implementing new cell designs.
Other products offered under the Energy and Environmental Solutions segment include roll-to-roll, vacuum web coating systems for high-performance deposition of a range of films on flexible substrates for functional, aesthetic, or optical properties. The Applied TopMet™ 4450 system is the world’s largest and fastest roll-to-roll machine for depositing ultra-thin aluminum films for flexible packaging applications. The Applied SmartWeb™ system uses PVD technology to deposit critical multi-layer films required for fabricating advanced touch panels in mobile devices and other flexible electronic substrates. The system’s modular design allows up to 12 different thin film layers to be deposited simultaneously on flexible material, enabling complex structures to be created in a single pass.
11
Backlog
Applied manufactures systems to meet demand represented by order backlog and customer commitments. Backlog consists of: (1) orders for which written authorizations have been accepted and assigned shipment dates are within the next 12 months, or shipment has occurred but revenue has not been recognized; and (2) contractual service revenue and maintenance fees to be earned within the next 12 months.
Backlog by reportable segment as of October 28, 2012 and October 30, 2011 was as follows:
2012 | 2011 | ||||||||||||
(In millions, except percentages) | |||||||||||||
Silicon Systems Group | $ | 705 | 44 | % | $ | 913 | 38 | % | |||||
Applied Global Services | 580 | 36 | % | 662 | 28 | % | |||||||
Display | 206 | 13 | % | 337 | 14 | % | |||||||
Energy and Environmental Solutions | 115 | 7 | % | 480 | 20 | % | |||||||
Total | $ | 1,606 | 100 | % | $ | 2,392 | 100 | % | |||||
Applied’s backlog on any particular date is not necessarily indicative of actual sales for any future periods, due to the potential for customer changes in delivery schedules or cancellation of orders. Customers may delay delivery of products or cancel orders prior to shipment, subject to possible cancellation penalties. Delays in delivery schedules and/or a reduction of backlog during any particular period could have a material adverse effect on Applied’s business and results of operations.
Manufacturing, Raw Materials and Supplies
Applied’s manufacturing activities consist primarily of assembly, test and integration of various proprietary and commercial parts, components and subassemblies (collectively, parts) that are used to manufacture systems. Applied has implemented a distributed manufacturing model under which manufacturing and supply chain activities are conducted in various countries, including the United States, Europe, Israel, Singapore, Taiwan, and other countries in Asia, and assembly of some systems is completed at customer sites. Applied uses numerous vendors, including contract manufacturers, to supply parts and assembly services for the manufacture and support of its products. Although Applied makes reasonable efforts to assure that parts are available from multiple qualified suppliers, this is not always possible. Accordingly, some key parts may be obtained from only a single supplier or a limited group of suppliers. Applied seeks to reduce costs and to lower the risks of manufacturing and service interruptions by: (1) selecting and qualifying alternate suppliers for key parts; (2) monitoring the financial condition of key suppliers; (3) maintaining appropriate inventories of key parts; (4) qualifying new parts on a timely basis; and (5) locating certain manufacturing operations in close proximity to suppliers and customers.
Research, Development and Engineering
Applied’s long-term growth strategy requires continued development of new products. The Company’s significant investment in research, development and engineering (RD&E) has generally enabled it to deliver new products and technologies before the emergence of strong demand, thus allowing customers to incorporate these products into their manufacturing plans at an early stage in the technology selection cycle. Applied works closely with its global customers to design systems and processes that meet their planned technical and production requirements. Product development and engineering organizations are located primarily in the United States, as well as in Europe, Israel, Taiwan, and China. In addition, Applied outsources certain RD&E activities, some of which are performed outside the United States, primarily in India. Process support and customer demonstration laboratories are located in the United States, China, Taiwan, Europe, and Israel.
Applied’s investments in RD&E for product development and engineering programs to create or improve products and technologies over the last three years were as follows: $1.2 billion (14 percent of net sales) in fiscal 2012, $1.1 billion (11 percent of net sales) in fiscal 2011, and $1.1 billion (12 percent of net sales) in fiscal 2010. Applied has spent an average of 13 percent of net sales in RD&E over the last five years. In addition to RD&E for specific product technologies, Applied maintains ongoing programs for automation control systems, materials research and environmental control that are applicable to its products.
12
Marketing and Sales
Net sales by geographic region, determined by the location of customers' facilities to which products were shipped, were as follows:
2012 | 2011 | 2010 | ||||||||||||||||||
(In millions, except percentages) | ||||||||||||||||||||
Taiwan | 2,411 | 28 | % | 2,093 | 20 | % | 2,750 | 29 | % | |||||||||||
China | 783 | 9 | % | 2,574 | 24 | % | 1,557 | 16 | % | |||||||||||
Korea | 1,897 | 22 | % | 1,263 | 12 | % | 1,768 | 19 | % | |||||||||||
Japan | 704 | 8 | % | 912 | 9 | % | 768 | 8 | % | |||||||||||
Southeast Asia | 312 | 3 | % | 592 | 5 | % | 578 | 6 | % | |||||||||||
Asia Pacific | 6,107 | 70 | % | 7,434 | 70 | % | 7,421 | 78 | % | |||||||||||
United States | 1,749 | 20 | % | 1,963 | 19 | % | 1,147 | 12 | % | |||||||||||
Europe | 863 | 10 | % | 1,120 | 11 | % | 981 | 10 | % | |||||||||||
Total | $ | 8,719 | 100 | % | $ | 10,517 | 100 | % | $ | 9,549 | 100 | % | ||||||||
Because of the highly technical nature of its products, Applied markets and sells products worldwide almost entirely through a direct sales force. Approximately 80 percent of Applied’s fiscal 2012 net sales were to regions outside of the United States.
General economic conditions impact Applied’s business and financial results. From time to time, the markets in which products are sold experience weak economic conditions that may negatively impact sales. Applied’s business is usually not seasonal in nature, but it is highly cyclical, based on capital equipment investment by major semiconductor, flat panel display, solar PV and other manufacturers. Customers’ expenditures depend on many factors, including: anticipated market demand and pricing for semiconductors, LCDs, solar cells and modules, and other substrates; the development of new technologies; customers’ factory utilization; capital resources and financing; government policies and incentives; and global and regional economic conditions.
Information on net sales to unaffiliated customers and long-lived assets attributable to Applied’s geographic regions is included in Note 16 of Notes to Consolidated Financial Statements. The following companies accounted for at least 10 percent of Applied’s net sales in 2012, 2011, and/or 2010, which were for products in multiple reportable segments.
2012 | 2011 | 2010 | |||
Samsung Electronics Co., Ltd. | 20% | 12% | 14% | ||
Taiwan Semiconductor Manufacturing Company Limited | 16% | 10% | 11% | ||
Intel Corporation | * | 10% | * | ||
________________________
* | Less than 10%. |
13
Competition
The industries in which Applied operates are highly competitive and characterized by rapid technological change. Applied’s ability to compete generally depends on its ability to timely commercialize its technology, continually improve its products and develop new products that meet constantly evolving customer requirements. Significant competitive factors include technical capability and differentiation, productivity and cost-effectiveness. The importance of these factors varies according to customers’ needs, including product mix and respective product requirements, applications, and the timing and circumstances of purchasing decisions. Substantial competition exists in all areas of Applied’s business. Competitors range from small companies that compete with a single product and/or in a single region, to global, diversified companies with a range of products. Applied’s ability to compete requires a high level of investment in RD&E, marketing and sales and global customer support activities. Management believes that many of Applied’s products have strong competitive positions.
The competitive environment for each segment is described below.
The semiconductor industry has been increasingly driven by consumer demand for lower-cost electronic products with increased capability. As a result, products within the Silicon Systems Group segment are subject to significant changes in customer requirements, including transitions to smaller dimensions, new materials and an increasing number of applications. While certain existing technologies may be adapted to new requirements, some applications create the need for an entirely different technological approach. The rapid pace of technological change can quickly diminish the value of current technologies and products and create opportunities for existing and new competitors. Applied offers a broad portfolio of technologically differentiated products that must continuously evolve to satisfy customers’ requirements in order to compete effectively. Applied allocates resources among its numerous product offerings and therefore may decide not to invest in an individual product to the same degree as competitors who specialize in fewer products. There are a number of competitors serving the semiconductor manufacturing equipment industry, with some offering a single product line and others offering multiple product lines. These competitors range from suppliers serving a single region to global, diversified companies. The competitive environment for the Silicon Systems Group in fiscal 2012 reflected continued investment in the semiconductor industry driven by capacity demand for mobile computing. Foundry customers led capacity additions for advanced technology nodes and were the primary drivers for net sales of the Silicon Systems Group.
Products and services within the Applied Global Services segment complement the Silicon Systems Group, Display, and Energy and Environmental Solutions segments’ products, in markets that are characterized by demanding worldwide service requirements and a diverse group of numerous competitors. To compete effectively, Applied offers products and services to improve tool performance, lower overall cost of ownership, and increase the productivity and energy efficiency of customers’ fab operations. Significant competitive factors include productivity, cost-effectiveness, and the level of technical service and support. The importance of these factors varies according to customers’ needs and the type of products or services offered. Industry conditions that affected Applied Global Services’ sales of spares and services in fiscal 2012 were principally semiconductor manufacturers' wafer starts and factory utilization rates.
Products in the Display segment are generally subject to strong competition from a number of major competitors. Applied holds established market positions with its technically-differentiated TFT-LCD manufacturing solutions for PECVD, color filter PVD, PVD array, PVD touch panel, and TFT array testing, although its market position could change quickly due to customers' evolving requirements. The competitive environment for the Display segment in fiscal 2012 was characterized by the weakest level of industry investment in TV manufacturing equipment in recent history, while investment continued in equipment to make touch screen and high-end mobile devices. Important factors affecting the competitive position of Applied's Display products include: industry trends, Applied's ability to innovate and develop new products, and the extent to which Applied's products are technically-differentiated, as well as which customers within a highly concentrated customer base are making capital equipment investments and Applied's existing position at these customers.
Applied's products within the Energy and Environmental Solutions segment compete in several diverse market areas, including primarily the c-Si solar equipment market. All of these markets are characterized by extreme pressure to reduce customers' overall production costs and improve performance. In relation to solar, for fiscal 2012, end-market demand continued to be robust as the industry further reduced manufacturing costs and made conversion efficiency improvements, enabling PV-generated electricity to reach parity with retail electricity rates in an increasing number of areas around the world. However, excess manufacturing capacity relative to end-demand created an exceptionally challenging environment, causing solar cell and wafering customers to defer purchases of new capacity to preserve capital and resulting in some industry consolidation. The rationalization of capacity will be an important factor in determining when supply and demand come back into balance. Adding to market uncertainty are international trade actions against Chinese solar manufacturers commenced in the U.S. and other regions that have resulted in the imposition of sanctions. With respect to its c-Si equipment products, Applied competes with a number of other companies, some of which have significant experience with solar applications and some of which are new entrants to the solar equipment market. The solar industry downturn has affected many of Applied's competitors and customers adversely, with some companies going through extensive financial and organizational restructuring.
14
Patents and Licenses
Management believes that Applied’s competitive position significantly depends upon the Company’s research, development, engineering, manufacturing and marketing capabilities, and not just on its patent position. However, protection of Applied’s technological assets through enforcement of its intellectual property rights, including patents, is important. Therefore, Applied’s practice is to file patent applications in the United States and other countries for inventions that Applied considers significant. Applied has a substantial number of patents in the United States and other countries, and additional applications are pending for new inventions. Although Applied does not consider its business materially dependent upon any one patent, the rights of Applied and the products made and sold under its patents, taken as a whole, are a significant element of Applied’s business. In addition to patents, Applied also possesses other intellectual property, including trademarks, know-how, trade secrets, and copyrights.
Applied enters into patent and technology licensing agreements with other companies when management determines that it is in Applied’s best interest to do so. Applied pays royalties under existing patent license agreements for the use, in several of its products, of certain patented technologies that are licensed to Applied. Applied also receives royalties from licenses granted to third parties. Royalties received from or paid to third parties have not been, and are not expected to be, material to Applied’s consolidated results of operations.
In the normal course of business, Applied periodically receives and makes inquiries regarding possible patent infringement. In responding to such inquiries, it may become necessary or useful for Applied to obtain or grant licenses or other rights. However, there can be no assurance that such licenses or rights will be available to Applied on commercially reasonable terms, or at all. If Applied is not able to resolve or settle claims, obtain necessary licenses on commercially reasonable terms, and/or successfully prosecute or defend its position, Applied’s business, financial condition and results of operations could be materially and adversely affected.
Environmental Matters
Applied maintains a number of environmental, health, and safety programs that are primarily preventive in nature. As part of these programs, Applied regularly monitors ongoing compliance with applicable laws and regulations. In addition, Applied has trained personnel to conduct investigations of any environmental, health, or safety incidents, including, but not limited to, spills, releases, or possible contamination.
Compliance with federal, state and local environmental, health, and safety provisions, including, but not limited to, those regulating the discharge of materials into the environment, remedial agreements, and other actions relating to the environment have not had, and are not expected to have, a material effect on Applied’s capital expenditures, competitive position, financial condition, or results of operations.
The most recent report on Applied’s environmental, health, and safety activities can be found in the Company’s latest Citizenship Report on its website at http://www.appliedmaterials.com/about/cr/sustainability. The Citizenship Report is updated periodically. This website address is intended to be an inactive textual reference only. None of the information on, or accessible through, Applied’s website is part of this Form 10-K or is incorporated by reference herein.
Employees
At October 28, 2012, Applied employed approximately 14,500 regular employees and 500 temporary employees. In the high-technology industry, competition for highly-skilled employees is intense. Applied believes that its future success is highly dependent upon its continued ability to attract, retain, and motivate qualified employees. There can be no assurance that Applied will be able to attract, hire, assimilate, motivate, and retain a sufficient number of qualified employees.
15
Executive Officers of the Registrant
The following table and notes set forth information about Applied’s executive officers as of November 30, 2012:
Name of Individual | Position |
Michael R. Splinter(1) | Chairman of Board of Directors, Chief Executive Officer |
Gary E. Dickerson(2) | President |
George S. Davis(3) | Executive Vice President, Chief Financial Officer |
Randhir Thakur(4) | Executive Vice President, General Manager Silicon Systems |
Joseph Flanagan(5) | Senior Vice President, Worldwide Operations and Supply Chain |
Mary Humiston(6) | Senior Vice President, Global Human Resources |
Manfred Kerschbaum(7) | Senior Vice President, Chief of Staff |
Thomas F. Larkins(8) | Senior Vice President, General Counsel and Corporate Secretary |
Omkaram Nalamasu(9) | Group Vice President, Chief Technology Officer |
Charlie Pappis(10) | Group Vice President, General Manager Applied Global Services |
Ali Salehpour(11) | Group Vice President, General Manager Energy and Environmental Solutions and Display Business Groups |
Thomas S. Timko(12) | Corporate Vice President, Corporate Controller and Chief Accounting Officer |
(1) | Mr. Splinter, age 62, has been Chief Executive Officer of Applied since April 2003 and Chairman of the Board of Directors since March 2009. Prior to joining Applied, Mr. Splinter was an executive at Intel Corporation (Intel), a manufacturer of chips and computer, networking and communications products, where Mr. Splinter held a number of positions, including Executive Vice President and Director of Sales and Marketing and Executive Vice President and General Manager of the Technology and Manufacturing Group. |
(2) | Mr. Dickerson, age 55, was named President of Applied in June 2012, after working on merger integration matters since joining Applied following its acquisition of Varian in November 2011. Mr. Dickerson was Chief Executive Officer and a director of Varian from 2004. Prior to joining Varian in 2004, Mr. Dickerson served 18 years with KLA-Tencor Corporation (KLA-Tencor), a supplier of process control and yield management solutions for the semiconductor and related industries, where he held a variety of operations and product development roles before being appointed Chief Operating Officer in 1999 and then President and Chief Operating Officer in 2002. Mr. Dickerson started his semiconductor career in manufacturing and engineering management at General Motors' Delco Electronics Division and then AT&T, Inc. |
(3) | Mr. Davis, age 55, has been Executive Vice President, Chief Financial Officer of Applied since December 2009, after serving as Senior Vice President, Chief Financial Officer, since December 2006, and Group Vice President, Chief Financial Officer from November 2006. Previously, he had been Group Vice President, General Manager, Corporate Business Development since March 2005. From November 1999 to February 2005, Mr. Davis served as Vice President and Corporate Treasurer, where he managed Applied’s worldwide treasury operations and was responsible for investments, tax, financial risk management, and trade and export matters. Mr. Davis joined Applied in 1999. Prior to joining Applied, Mr. Davis served 19 years with Atlantic Richfield Company, a global oil, gas and chemical company, in a number of finance and other corporate positions. |
(4) | Dr. Thakur, age 50, has been Executive Vice President, General Manager Silicon Systems Group since December 2009, after serving as Senior Vice President, General Manager Silicon Systems Group since October 2009. Previously, he was Senior Vice President, General Manager, Thin Film Solar and Display. He was appointed Senior Vice President, General Manager, Strategic Operations when he rejoined Applied in May 2008. He previously was with Applied from 2000 to 2005 in a variety of executive roles including Group Vice President, General Manager for Front End Products. From September 2005 to May 2008, Dr. Thakur served as Executive Vice President of Technology and Fab Operations at SanDisk Corporation, a data storage solutions manufacturer, and as head of SanDisk’s worldwide operations. Prior to joining Applied in 2000, Dr. Thakur served in leadership roles at Steag Electronic Systems, an electronics company, and Micron Technology, Inc., a semiconductor manufacturer. |
(5) | Mr. Flanagan, age 41, joined Applied as Senior Vice President, Worldwide Operations and Supply Chain in February 2010. Prior to joining Applied, Mr. Flanagan held executive positions in global operations for Nortel Networks Corporation, a telecommunications equipment manufacturer, since 2006, including President of Nortel Business Services from August 2009 to February 2010, and Senior Vice President of Global Operations from August 2007 until August 2009. Previously, Mr. Flanagan held a number of positions from 1993 to 2006 at General Electric Company (GE), a global infrastructure, finance and media company. |
16
(6) | Ms. Humiston, age 47, was named Senior Vice President, Global Human Resources in July 2011. She was Corporate Vice President, Global Human Resources from June 2009 to June 2010 and then promoted to Group Vice President in July 2010. Prior to June 2009, she served as the Corporate Vice President of Human Resources for both the Energy and Environmental Solutions and Display groups. Prior to joining Applied, Ms. Humiston was Vice President of Human Resources at Honeywell International Inc., which provides technologies to address safety, security and energy, from October 2002 to June 2008, with responsibility for various corporate and international organizations. She previously held executive positions with PeoplePC, an internet service provider; Gap, Inc., an apparel retailer; and GE. |
(7) | Mr. Kerschbaum, age 58, was named Senior Vice President, Chief of Staff in September 2009. Prior to that, he served as Senior Vice President, General Manager, Applied Global Services from January 2005 to September 2009. Mr. Kerschbaum was Senior Vice President, Global Operations from July 2004 to January 2005 and from October 2002 to May 2003. From May 2003 to July 2004, he was Group Vice President, Foundation Engineering and Operations. From January 1996 to October 2002, he held various positions in Applied Materials North America, most recently as Group Vice President, General Manager, Applied Materials North America. Mr. Kerschbaum has served in various other operations, customer service and engineering positions since joining Applied in 1983. Mr. Kerschbaum has announced his intent to retire from the Company in January 2013. |
(8) | Mr. Larkins, age 51, was named Senior Vice President, General Counsel and Corporate Secretary of Applied in November 2012, with responsibility for global legal affairs, intellectual property and security. Previously, Mr. Larkins was employed by Honeywell International (Honeywell), a diversified global technology and manufacturing company, where he was Vice President, Corporate Secretary and Deputy General Counsel from 2002 until joining Applied. Mr. Larkins served in various other positions at Honeywell (formerly AlliedSignal) after joining the company in 1997, including Vice President and General Counsel of its Automation and Control Solutions business segment, and General Counsel of its Aerospace Services business unit. |
(9) | Dr. Nalamasu, age 54, was promoted to Group Vice President, Chief Technology Officer for Applied in January 2012, after serving as Corporate Vice President, Chief Technology Officer since January 2011. Upon joining Applied in June 2006 and until January 2011, Dr. Nalamasu was an Appointed Vice President of Research and served as Deputy Chief Technology Officer and General Manager for Advanced Technologies Group. From 2002 to 2006, Dr. Nalamasu was a NYSTAR distinguished professor of Materials Science and Engineering at Rensselaer Polytechnic Institute, where he also served as Vice President of Research from 2005 to 2006. Prior to that, he held various R&D leadership positions at Bell Labs and later Lucent Technologies, Inc., a telecommunications company, for 17 years. |
(10) | Mr. Pappis, age 51, has been Group Vice President and General Manager of Applied Global Services since September 2009. He previously held positions in Applied Global Services as Corporate Vice President and General Manager for the Semiconductor Service Solutions group and as general manager for Equipment Productivity Services. He has held various other management positions since joining Applied in 1986. |
(11) | Mr. Salehpour, age 51, joined Applied in November 2012 as Group Vice President, General Manager Energy and Environmental Solutions and Display Business Groups. Prior to Applied, Mr. Salehpour worked at KLA-Tencor for 16 years, where he served most recently as Senior Vice President and General Manager SFS-ADE Divisions from 2008. Previous positions at KLA-Tencor included President North America Field Operations from 2005 to 2008, and Senior Vice President and General Manager Intel Business Unit from 2001 to 2005. Prior to KLA-Tencor, Mr. Salehpour worked in the Schlumberger Test Systems unit of Schlumberger Ltd. in marketing, account management and engineering roles. |
(12) | Mr. Timko, age 44, joined Applied in March 2010 as Corporate Vice President, Corporate Controller and Chief Accounting Officer. From June 2006 until March 2010, Mr. Timko was with Delphi Automotive LLP, a supplier to the automotive, computing, communications, energy and consumer accessories markets, where he was most recently Chief Accounting Officer and Controller. He served as Assistant Controller for The Interpublic Group of Companies, Inc., a global provider of advertising and marketing services, from December 2004 to June 2006, and previously at Dover Corporation, a manufacturer of industrial products. Mr. Timko began his career in 1991 with PricewaterhouseCoopers LLC, a provider of audit and assurance, tax and advisory services, and is a certified public accountant. |
17
Available Information
Applied’s website is http://www.appliedmaterials.com. Applied makes available free of charge, on or through its website, its annual, quarterly and current reports, and any amendments to those reports, as soon as reasonably practicable after electronically filing such reports with, or furnishing them to, the SEC. This website address is intended to be an inactive textual reference only. None of the information on, or accessible through, Applied’s website is part of this Form 10-K or is incorporated by reference herein.
Item 1A: | Risk Factors |
The following factors could materially affect Applied’s business, financial condition or results of operations and should be carefully considered in evaluating the Company and its business.
The industries that Applied serves are volatile and difficult to predict.
As a supplier to the global semiconductor, flat panel display, and solar industries, Applied is subject to business cycles, the timing, length and volatility of which can be difficult to predict and which vary by reportable segment. These industries historically have been cyclical due to sudden changes in customers’ requirements for new manufacturing capacity and advanced technology, which depend in part on customers’ capacity utilization, production volumes, access to affordable capital, end-use demand, and inventory levels relative to demand, as well as the rate of technology transitions and general economic conditions. These changes have affected the timing and amounts of customers’ purchases and investments in technology, and continue to affect Applied’s orders, net sales, operating expenses and net income. More recently, consumer buying patterns for electronic products, combined with growing customer concentration, have led to a seasonality effect in demand for semiconductor equipment, particularly from foundry customers.
To meet rapidly changing demand in the industries it serves, Applied must accurately forecast demand and effectively manage its resources and production capacity for each of its segments as well as across multiple segments, and may incur unexpected or additional costs to align its business operations. During periods of decreasing demand, Applied must reduce costs and align its cost structure with prevailing market conditions; effectively manage its supply chain; and motivate and retain key employees. During periods of increasing demand for its products, Applied must have sufficient manufacturing capacity and inventory to meet customer demand; effectively manage its supply chain; attract, retain and motivate a sufficient number of qualified employees; and continue to control costs. If Applied does not accurately forecast and timely and appropriately adapt to changes in its business environment, Applied’s business, financial condition and results of operations may be materially and adversely affected.
Applied is exposed to risks associated with the uncertain global economy.
Uncertain global economic conditions and slowing or negative growth in China, Europe, and the United States, along with continuing difficulties in the financial markets, national debt and fiscal concerns in various regions (including a potential “fiscal cliff” in the U.S. if remedial legislation is not enacted by December 31, 2012), and government austerity measures, are posing challenges to the industries in which Applied operates. The markets for semiconductors and flat panel displays in particular depend largely on consumer spending, while the solar market depends in part on government incentives and the availability of financing for PV installations. Economic uncertainty and related factors exacerbate negative trends in business and consumer spending and may cause certain Applied customers to push out, cancel, or refrain from placing orders for equipment or services, which may in turn reduce Applied's net sales, reduce backlog, and affect Applied’s ability to convert backlog to sales. Uncertain market conditions, difficulties in obtaining capital, or reduced profitability may also cause some customers to scale back operations, exit businesses, merge with other manufacturers, or file for bankruptcy protection and potentially cease operations, which can also result in lower sales and/or additional inventory or bad debt expense for Applied. These conditions may similarly affect key suppliers, which could impair their ability to deliver parts and result in delays for Applied’s products or added costs. In addition, these conditions may lead to strategic alliances by, or consolidation of, other equipment manufacturers, which could adversely affect Applied’s ability to compete effectively.
Uncertainty about future economic and industry conditions also makes it more challenging for Applied to forecast its operating results, make business decisions, and identify and prioritize the risks that may affect its businesses, sources and uses of cash, financial condition and results of operations. Applied may be required to implement additional cost reduction efforts, including restructuring activities, which may adversely affect Applied’s ability to capitalize on opportunities in a market recovery. In addition, Applied maintains an investment portfolio that is subject to general credit, liquidity, foreign exchange, market and interest rate risks. The risks to Applied’s investment portfolio may be exacerbated if financial market conditions deteriorate and, as a result, the value and liquidity of the investment portfolio, as well as returns on pension assets, could be negatively impacted and lead to impairment charges. Applied also maintains cash balances in various bank accounts globally in order to fund normal operations. If any of these financial institutions becomes insolvent, it could limit Applied’s ability to access cash in the affected accounts.
18
If Applied does not timely and appropriately adapt to changes resulting from the uncertain macroeconomic environment and industry conditions, or to difficulties in the financial markets, Applied’s business, financial condition and results of operations may be materially and adversely affected.
Applied is exposed to risks as a result of ongoing changes in the various industries in which it operates.
The global semiconductor, flat panel display, solar and related industries in which Applied operates are characterized by ongoing changes affecting some or all of these industries, including:
• | the nature and timing of changes in end demand for electronic products, including those related to fluctuations in consumer buying patterns tied to seasonality or the introduction of new products, and the effects of these changes on customers’ businesses and, in turn, on demand for Applied’s products; |
• | increasing capital requirements for building and operating new fabrication plants and customers’ ability to raise the necessary capital, particularly when financial market conditions are difficult; |
• | differences in growth rates among the semiconductor, display and solar industries; |
• | the increasing importance of establishing, improving and maintaining strong relationships with customers; |
• | the increasing cost and complexity for customers to move from product design to volume manufacturing, which may slow the adoption rate of new manufacturing technology; |
• | the need to continually reduce the total cost of manufacturing system ownership, due in part to greater demand for lower-cost consumer electronics compared to business information technology spending; |
• | the heightened importance to customers of system reliability and productivity and the effect on demand for fabrication systems as a result of their increasing productivity, device yield and reliability; |
• | the increasing importance of, and difficulties in, developing products with sufficient differentiation to influence customers’ purchasing decisions; |
• | requirements for shorter cycle times for the development, manufacture and installation of manufacturing equipment; |
• | price and performance trends for semiconductor devices, LCDs and solar PVs, and the corresponding effect on demand for such products; |
• | the increasing importance of the availability of spare parts to maximize the time that customers’ systems are available for production; |
• | the increasing role for and complexity of software in Applied products; and |
• | the increasing focus on reducing energy usage and improving the environmental impact and sustainability associated with manufacturing operations. |
If Applied does not successfully manage the risks resulting from the ongoing changes in the semiconductor, flat panel display, solar and related industries, its business, financial condition and results of operations could be materially and adversely affected.
Applied is exposed to risks as a result of ongoing changes specific to the semiconductor industry.
The largest proportion of Applied’s consolidated net sales and profitability has been and continues to be derived from sales of manufacturing equipment by the Silicon Systems Group to the global semiconductor industry. In addition, a majority of the revenues of Applied Global Services is from sales of service products to semiconductor manufacturers. The semiconductor industry is characterized by ongoing changes particular to that industry in addition to the general industry changes described in the preceding risk factor, including:
• | the increasing cost of research and development due to many factors, including: decreasing linewidths on a chip, the use of new materials such as cobalt and yttrium, new and more complex device structures, more applications and process steps, increasing chip design costs, and the increasing cost and complexity of integrated manufacturing processes; |
• | the need to reduce product development time, despite the increasing difficulty of technical challenges; |
• | the growing number of types and varieties of semiconductors and number of applications across multiple substrate sizes; |
• | the increasing cost and complexity for semiconductor manufacturers to move more technically advanced capability and smaller linewidths to volume manufacturing, and the resulting impact on the rates of technology transition and investment in capital equipment; |
• | challenges in generating organic growth given semiconductor manufacturers’ decreasing capital expenditures as a percentage of revenue, and their increasing capital investment in market segments that Applied does not serve, such as lithography, or segments where Applied's products have lower relative market share; |
19
• | the importance of increasing market positions in under-penetrated segments, such as etch and inspection; |
• | the growing demand for mobility products, such as tablets and smartphones, and corresponding industry investment in devices that require fewer Applied products to manufacture, such as NAND flash memory, than are needed to make devices used in other applications, such as DRAM for personal computers; |
• | the adoption of cloud-based memory storage particularly for mobility products, and the associated inhibiting effect on NAND bit growth rates; |
• | the increasing frequency and complexity of technology transitions and inflections, such as 3-D transistors and advanced interconnects; |
• | shorter cycle times between order placements by customers (particularly foundries) and product shipment, which may lead to inventory write-offs and manufacturing inefficiencies that decrease gross margin; |
• | competitive factors that make it difficult to enhance market share, including challenges in securing development-tool-of-record (DTOR) and production-tool-of-record (PTOR) positions with customers; |
• | potential shifts in sourcing strategies by a major computer and electronics company that may impact the equipment requirements of Applied's foundry customers; |
• | the concentration of new wafer starts in Korea, where Applied’s service penetration and service-revenue-per-wafer-start have been lower than in other regions; and |
• | the increasing fragmentation of semiconductor markets, leading certain markets to become too small to support the cost of a new fabrication plant, while others require less technologically advanced products. |
Applied must accurately forecast, and allocate appropriate resources and investment towards addressing, key technology changes and inflections, such as the transition to 20nm devices, in order to enable opportunities for market share gains. In addition, the proposed industry transition from 300mm to 450mm wafers presents opportunities as well as risks and uncertainties, including those related to cost, technical complexity, timing, and the resulting effect on demand for manufacturing equipment and services. Recently, several semiconductor customers have invested in another wafer fabrication equipment supplier to help fund development of 450mm and other new technologies, which may influence the timing of technology transitions, funding allocations or other matters. If Applied does not successfully manage the risks resulting from these and other ongoing changes occurring in the semiconductor industry, its business, financial condition and results of operations could be materially and adversely affected.
Applied is exposed to risks as a result of ongoing changes specific to the solar industry.
Investment levels in capital equipment for the global solar industry have experienced considerable volatility. Current global solar PV production capacity exceeds anticipated near-term end-use demand, causing customers to significantly reduce or delay investments in manufacturing capacity and new technology, or to cease operations. In addition to the general industry changes described above in the third risk factor, the global solar market is characterized by ongoing changes specific to this industry that impact demand for and/or the profitability of Applied’s solar products, including:
• | the need to continually decrease the cost-per-watt of electricity produced by solar PV products to at or below grid parity in more global regions by, among other things, reducing operating costs and increasing throughputs for solar PV manufacturing, and improving the conversion efficiency of solar PVs; |
• | the variability and uncertainty of government energy policies and their effect in influencing the rate of growth of the solar PV market, including the availability and amount of incentives for solar power such as tax credits, feed-in tariffs, rebates, renewable portfolio standards that require electricity providers to sell a targeted amount of energy from renewable sources, and goals for solar installations on government facilities; |
• | the number of solar PV manufacturers and amount of global production capacity for solar PVs, primarily in China; |
• | the filing of regulatory unfair trade proceedings against solar PVs from China, where most of Applied’s solar equipment sales are concentrated, which has resulted in the assessment of duties on solar cells and modules imported from China and led to other trade-related conflicts and outcomes; |
• | the varying levels of operating and industry experience among solar PV manufacturers and the resulting differences in the nature and extent of customer support services requested from Applied; |
• | challenges associated with marketing and selling manufacturing equipment and services to a diverse and diffuse customer base; |
• | the growth of market segments in which Applied does not participate, such as passivation and furnaces; |
20
• | the increasing number of government-affiliated entities in China that are becoming customers; |
• | the financial condition of solar PV customers and their access to affordable financing and capital; and |
• | increasing solar industry challenges of solar panel manufacturing overcapacity and weaker operating performance and outlook, leading to the increasing deterioration of the solar equipment market and of the financial condition of Applied's customers. |
If Applied does not successfully manage the risks resulting from the ongoing changes occurring in the solar industry, its business, financial condition and results of operations could be materially and adversely affected.
Applied is exposed to risks as a result of ongoing changes specific to the flat panel display industry.
The global flat panel display industry historically has experienced considerable volatility in capital equipment investment levels, due in part to the limited number of LCD manufacturers, the concentrated nature of LCD end-use applications, and excess production capacity relative to end-use demand. Industry growth has depended primarily on consumer demand for increasingly larger and more advanced LCD TVs, which demand has slowed significantly, and more recently on demand for smartphones and other mobile devices, which demand is highly sensitive to cost and improvements in technologies and features. In addition to the general industry changes described above in the third risk factor, the display industry is characterized by ongoing changes particular to that industry, including:
• | the timing and extent of a planned expansion of manufacturing facilities in China by Chinese display manufacturers and manufacturers from other countries, and the ability of non-Chinese manufacturers to obtain government approvals on a timely basis; |
• | the slowing rate of transition to larger substrate sizes for LCD TVs and the resulting effect on capital intensity in the industry and on Applied’s product differentiation, gross margin and return on investment; |
• | the increasing importance of new types of display technologies, such as low temperature polysilicon (LTPS), organic light-emitting diode (OLED) and metal oxide, and new touch panel films, such as anti-reflective and anti-fingerprint; and |
• | uncertainty with respect to future LCD technology end-use applications and growth drivers. |
If Applied does not successfully manage the risks resulting from the ongoing changes occurring in the display industry, its business, financial condition and results of operations could be materially and adversely affected.
Applied must continually innovate, commercialize its products, and adapt its business and product offerings to respond to competition and rapid technological changes.
As Applied operates in a highly competitive environment in which innovation is critical, its future success depends on many factors, including the effective commercialization and customer acceptance of its equipment, services and related products. In addition, Applied must successfully execute its growth strategy, including enhancing market share in existing markets, expanding into related markets, cultivating new markets and exceeding industry growth rates, while constantly improving its operational performance. The development, introduction and support of a broadening set of products in more collaborative, geographically diverse, open and varied competitive environments have grown increasingly complex and expensive over time. Furthermore, new or improved products may entail higher costs and reduced profits. Applied’s performance may be adversely affected if it does not timely, cost-effectively and successfully:
• | identify and address technology inflections, market changes, new applications, customer requirements and end-use demand; |
• | develop new products (including disruptive technologies), improve and/or develop new applications for existing products, and adapt similar products for use by customers in different applications and/or markets with varying technical requirements; |
• | differentiate its products from those of competitors and any disruptive technologies, meet customers’ performance specifications, appropriately price products, and achieve market acceptance; |
• | maintain operating flexibility to enable different responses to different markets, customers and applications; |
• | enhance its worldwide operations across all business segments to reduce cycle time, enable continuous quality improvement, reduce costs, and enhance design for manufacturability and serviceability; |
• | focus on sales and marketing strategies that foster strong customer relationships; |
21
• | allocate resources, including people and R&D funding, among Applied’s products and between the development of new products and the enhancement of existing products, as most appropriate and effective for future growth; |
• | reduce the cost and improve the productivity of capital invested in R&D activities; |
• | accurately forecast demand, work with suppliers and meet production schedules for its products; |
• | improve its manufacturing processes and achieve cost efficiencies across product offerings; |
• | adapt to changes in value offered by companies in different parts of the supply chain; |
• | qualify products for evaluation and, in turn, volume manufacturing with its customers; and |
• | implement changes in its design engineering methodology, including those that enable reduction of material costs and cycle time, greater commonality of platforms and types of parts used in different systems, greater effectiveness of product life cycle management, and reduced energy usage and environmental impact. |
If Applied does not successfully manage these challenges, its business, financial condition and results of operations could be materially and adversely affected.
Applied is exposed to risks associated with a highly concentrated customer base.
Applied’s semiconductor customer base historically has been, and is becoming even more, highly concentrated as a result of economic and industry conditions. In fiscal 2012, three semiconductor manufacturers accounted for 60 percent of Silicon Systems Group net sales and two customers accounted for 36 percent of Applied’s consolidated net sales. Applied’s display customer base is also highly concentrated, while concentration within Applied’s solar customer base varies depending on the product line but is increasing due to challenging industry conditions. Applied’s customer base is also geographically-concentrated. In fiscal 2012, customers in Taiwan accounted for 32 percent of net sales for the Silicon Systems Group segment, while customers in Korea and the U.S. together constituted 49 percent; customers in Taiwan and China accounted for a total of 66 percent of net sales for the Display segment; and customers in China accounted for 49 percent of net sales for the Energy and Environmental Solutions segment.
In addition, certain customers have experienced significant ownership or management changes, consolidated with other manufacturers, outsourced manufacturing activities, or engaged in collaboration or cooperation arrangements with other manufacturers. Customers have entered into strategic alliances or industry consortia that have increased the influence of key industry participants in technology decisions made by their partners. Also, certain customers are making an increasingly greater percentage of their respective industry’s capital equipment investments. Further, claims or litigation involving key industry participants may result in changes in sourcing strategies and other outcomes. In this environment, contracts or orders from a relatively limited number of manufacturers have accounted for, and are expected to continue to account for, a substantial portion of Applied’s business, which may result in added complexities in managing customer relationships and transactions and make it more challenging for Applied’s business units to generate organic growth. In addition, the mix and type of customers, and sales to any single customer, may vary significantly from quarter to quarter and from year to year. If customers do not place orders, or they substantially reduce, delay or cancel orders, Applied may not be able to replace the business. As Applied’s products are configured to customer specifications, changing, rescheduling or canceling orders may result in significant, non-recoverable costs. Major customers may also seek, and on occasion receive, pricing, payment, intellectual property-related, or other commercial terms that are less favorable to Applied. These factors could have a material adverse effect on Applied’s business, financial condition and results of operations.
Applied is exposed to the risks of operating a global business.
In fiscal 2012, approximately 80 percent of Applied’s net sales were to customers in regions outside the United States. Moreover, China now represents the largest market for various electronic products, such as TVs, PCs, and smartphones. Certain of Applied’s R&D and manufacturing facilities, as well as suppliers to Applied, are also located outside the United States, including in Singapore, Taiwan, China, Korea, Israel, Germany, Italy and Switzerland. Applied is also expanding its business and operations in new countries. The global nature of Applied’s business and operations, combined with the need to continually improve the Company’s operating cost structure, presents challenges, including but not limited to those arising from:
• | varying regional and geopolitical business conditions and demands; |
• | political and social attitudes, laws, rules, regulations and policies within countries that favor domestic companies over non-domestic companies, including customer- or government-supported efforts to promote the development and growth of local competitors; |
• | customer- or government-supported efforts to influence Applied to conduct more of its operations in a particular country, such as Korea and China; |
• | variations among, and changes in, local, regional, national or international laws and regulations (including intellectual |
22
property, labor, tax, and import/export laws), as well as the interpretation and application of such laws and regulations;
• | global trade issues, including those related to the interpretation and application of import and export licenses, as well as international trade disputes; |
• | positions taken by governmental agencies regarding possible national commercial and/or security issues posed by international business operations; |
• | fluctuating raw material, commodity, energy and shipping costs or shipping delays; |
• | challenges associated with managing more geographically diverse operations and projects, which require an effective organizational structure and appropriate business processes, procedures and controls; |
• | a more diverse workforce with different experience levels, cultures, customs, business practices and worker expectations; |
• | variations in the ability to develop relationships with local customers, suppliers and governments; |
• | fluctuations in interest rates and currency exchange rates, including the relative strength or weakness of the U.S. dollar against the Japanese yen, euro, Taiwanese dollar, Israeli shekel or Chinese yuan; |
• | the need to provide sufficient levels of technical support in different locations around the world; |
• | political instability, natural disasters (such as earthquakes, floods or storms), pandemics, social unrest, terrorism or acts of war in locations where Applied has operations, suppliers or sales, or that may influence the value chain of the industries that Applied serves; |
• | the need for an effective business continuity plan if a disaster or other event occurs that could disrupt business operations; |
• | reliance on various information systems, data centers and software applications to conduct many aspects of Applied's business, which may be vulnerable to cyberattacks by third parties or breached due to employee error, misuse or other causes that could result in business disruptions, misappropriation of confidential information, or other adverse consequences in the event that Applied’s firewalls and security processes and practices are ineffective; |
• | the need to regularly reassess the size, capability and location of global infrastructure and make appropriate changes; |
• | cultural and language differences; |
• | difficulties and uncertainties associated with the entry into new countries; |
• | hiring and integration of an increasing number of new workers, including in countries such as India and China; |
• | the increasing need for the workforce to be more mobile and work in or travel to different regions; |
• | uncertainties with respect to economic growth rates in various countries; and |
• | uncertainties with respect to growth rates for the manufacture and sale of semiconductors, LCDs and solar PVs in the developing economies of certain countries. |
Many of these challenges are present in China and Korea, which are experiencing significant growth of customers, suppliers and competitors to Applied. Applied further believes that China and Korea present large potential markets for its products and opportunity for growth over the long term, although at lower projected levels of profitability and margins for certain products than historically have been achieved in other regions. These challenges may materially and adversely affect Applied’s business, financial condition and results of operations.
Operating in multiple industries, and the entry into new markets and industries, entail additional challenges and obligations.
As part of its growth strategy, Applied must successfully expand into related or new markets and industries, either with its existing products or with new products developed internally or obtained through acquisitions. The entry into different markets involves additional challenges, including those arising from:
• | the need to devote additional resources to develop new products for, and operate in, new markets; |
• | the need to develop new sales and technical marketing strategies, cultivate relationships with new customers and meet different customer service requirements; |
• | differing rates of profitability and growth among multiple businesses; |
• | Applied’s ability to anticipate demand, capitalize on opportunities, and avoid or minimize risks; |
• | the complexity of managing multiple businesses with variations in production planning, execution, supply chain management and logistics; |
• | the adoption of new business models, business processes and systems; |
23
• | Applied’s ability to rapidly expand or reduce its operations to meet increased or decreased demand, respectively, and the associated effect on working capital; |
•new materials, processes and technologies;
• | the need to attract, motivate and retain employees with skills and expertise in these new areas; |
• | new and more diverse customers and suppliers, including some with limited operating histories, uncertain and/or limited funding, evolving business models and/or locations in regions where Applied does not have, or has limited, operations; |
• | new or different competitors with potentially more financial or other resources, industry experience and/or established customer relationships; |
• | entry into new industries and countries, with differing levels of government involvement, laws and regulations, and business, employment and safety practices; |
• | third parties’ intellectual property rights; and |
• | the need to comply with, or work to establish, industry standards and practices. |
In addition, Applied from time to time receives funding from United States and other government agencies for certain strategic development programs to increase its research and development resources and address new market opportunities. As a condition to this government funding, Applied may be subject to certain record-keeping, audit, intellectual property rights-sharing and/or other obligations.
If Applied does not successfully manage the risks resulting from its diversification and entry into new markets and industries, its business, financial condition and results of operations could be materially and adversely affected.
Manufacturing interruptions or delays could affect Applied’s ability to meet customer demand and lead to higher costs, while the failure to estimate customer demand accurately could result in excess or obsolete inventory.
Applied’s business depends on its timely supply of equipment, services and related products that meet the rapidly changing technical and volume requirements of its customers, which depends in part on the timely delivery of parts, components and subassemblies (collectively, parts) from suppliers, including contract manufacturers. Some key parts are subject to long lead-times and/or obtainable only from a single supplier or limited group of suppliers, and some sourcing or subassembly is provided by suppliers located in countries other than the countries where Applied conducts its manufacturing, including China and Korea. Cyclical industry conditions and the volatility of demand for manufacturing equipment increase capital, technical, operational and other risks for Applied and for companies throughout its supply chain. Further, the adverse conditions in the credit and financial markets and industry slowdowns have caused, and may continue to cause, some suppliers to scale back operations, exit businesses, merge with other companies, or file for bankruptcy protection and possibly cease operations. Applied may also experience significant interruptions of its manufacturing operations, delays in its ability to deliver products or services, increased costs or customer order cancellations as a result of:
• | the failure or inability of suppliers to timely deliver sufficient quantities of quality parts on a cost-effective basis; |
• | volatility in the availability and cost of materials, including rare earth elements; |
• | difficulties or delays in obtaining required import or export approvals; |
• | information technology or infrastructure failures; and |
• | natural disasters or other events beyond Applied's control (such as earthquakes, floods or storms, regional economic downturns, pandemics, social unrest, political instability, terrorism, or acts of war), particularly where it conducts manufacturing (such as Israel, which has recently experienced increased geopolitical conflict). |
If a supplier fails to meet Applied’s requirements concerning quality, cost, socially-responsible business practices, or other performance factors, Applied may transfer its business to alternative sources, which could entail manufacturing delays, additional costs, or other difficulties. In addition, if Applied needs to rapidly increase its business and manufacturing capacity to meet increases in demand or expedited shipment schedules, this may exacerbate any interruptions in Applied’s manufacturing operations and supply chain and the associated effect on Applied’s working capital. Moreover, if actual demand for Applied’s products is different than expected, Applied may purchase more/fewer parts than necessary or incur costs for canceling, postponing or expediting delivery of parts. If Applied purchases inventory in anticipation of customer demand that does not materialize, or if customers reduce or delay orders, Applied may incur excess inventory charges. Any or all of these factors could materially and adversely affect Applied’s business, financial condition and results of operations.
24
The ability to attract, retain and motivate key employees is vital to Applied’s success.
Applied’s success, competitiveness and ability to execute on its global strategies and maintain a culture of innovation depend in large part on its ability to attract, retain and motivate key employees, especially in critical positions. Achieving this objective may be difficult due to many factors, including fluctuations in global economic and industry conditions, management changes, Applied’s organizational structure, competitors’ hiring practices, cost reduction activities (including workforce reductions and unpaid shutdowns), availability of career development opportunities, the ability to obtain necessary authorizations for workers to provide services outside their home countries, and the effectiveness of Applied’s compensation and benefit programs, including its share-based programs. Restructuring programs present particular challenges to the extent they involve the departure of knowledgeable and experienced employees and the resulting need to identify and train existing or new workers to perform necessary functions, which may result in unexpected costs, reduced productivity, and/or difficulties with respect to internal processes and controls. If Applied does not successfully attract, retain and motivate key employees with appropriate skills, Applied may be unable to capitalize on its opportunities and its business, financial condition and operating results may be materially and adversely affected.
Applied is exposed to risks associated with acquisitions and strategic investments.
Applied has made, and in the future intends to make, acquisitions of or investments in companies, technologies or products in existing, related or new markets for Applied. In November 2011, Applied completed its acquisition of Varian Semiconductor Equipment Associates, Inc. (Varian), which was the Company’s largest acquisition to date. Acquisitions involve numerous risks that vary depending on the scale and nature of the acquisition, including but not limited to:
• | diversion of management’s attention from other operational matters; |
• | inability to complete acquisitions as anticipated or at all; |
• | the appropriateness of valuation and other transaction terms; |
• | requirements imposed by government regulators in connection with their review of a transaction, which may include, among other things, divestitures and/or restrictions on the conduct of Applied’s existing business or the acquired business; |
• | ineffective integration of operations, systems, technologies, products or employees of an acquired business, which can impact the ability to realize anticipated synergies or other benefits; |
• | failure to commercialize purchased technologies; |
• | initial dependence on unfamiliar supply chains or relatively small supply partners; |
• | inability to capitalize on characteristics of new markets that may be significantly different from Applied’s existing markets and where competitors may have stronger market positions and customer relationships; |
• | failure to attract, retain and motivate key employees from the acquired business; |
• | reductions in cash balances and/or increases in debt obligations to finance the acquisition, which reduce the availability of cash flow for general corporate or other purposes; |
• | exposure to new operational risks, rules, regulations, worker expectations, customs and practices to the extent acquired businesses are located in regions where Applied has not historically conducted business; |
• | challenges associated with managing new, more diverse and more widespread operations, projects and people; |
• | inability to obtain and protect intellectual property rights in key technologies; |
• | inadequacy or ineffectiveness of an acquired company’s internal financial controls, disclosure controls and procedures, and/or environmental, health and safety, anti-corruption, human resource, or other policies or practices; |
• | impairment of acquired intangible assets and goodwill as a result of changing business conditions, technological advancements or worse-than-expected performance of the segment; |
25
• | the risk of litigation or claims associated with a proposed or completed transaction; |
• | unknown, underestimated and/or undisclosed commitments or liabilities; and |
• | the inappropriate scale of acquired entities’ critical resources or facilities for business needs. |
Applied also makes strategic investments in other companies, including companies formed as joint ventures, which may decline in value and/or not meet desired objectives. The success of these investments depends on various factors over which Applied may have limited or no control and, particularly with respect to joint ventures, requires ongoing and effective cooperation with strategic partners. The risks to Applied’s strategic investment portfolio may be exacerbated by unfavorable financial market and macroeconomic conditions and, as a result, the value of the investment portfolio could be negatively impacted and lead to impairment charges. Mergers and acquisitions and strategic investments are inherently subject to significant risks. If Applied does not successfully manage the risks associated with acquisitions and strategic investments, its business, financial condition and results of operations could be materially and adversely affected.
Applied is exposed to various risks related to legal proceedings or claims and protection of intellectual property rights.
Applied from time to time is, and in the future may be, involved in legal proceedings or claims regarding patent infringement, intellectual property rights, antitrust, environmental regulations, securities, contracts, product performance, product liability, unfair competition, misappropriation of trade secrets, employment, workplace safety, and other matters. Applied also on occasion receives notification from customers who believe that Applied owes them indemnification or other obligations related to claims made against such customers by third parties.
In February 2010, the Seoul Prosecutor’s Office for the Eastern District in Korea indicted certain employees of Applied Materials Korea (AMK), including the former head of AMK who at the time of indictment was a vice president of Applied Materials, Inc., along with employees of several other companies, alleging the improper receipt and use of confidential information of Samsung Electronics Co., Ltd. (Samsung), a major customer. Hearings on these matters have been ongoing. On November 22, 2012, the Court held the last hearing and scheduled the announcement of its decision for January 24, 2013.
Legal proceedings and claims, whether with or without merit, and associated internal investigations, may (1) be time-consuming and expensive to prosecute, defend or conduct; (2) divert management’s attention and other Applied resources; (3) inhibit Applied’s ability to sell its products; (4) result in adverse judgments for damages, injunctive relief, penalties and fines; and/or (5) negatively affect Applied’s business. There can be no assurance regarding the outcome of current or future legal proceedings, claims or investigations. If Applied is not able to favorably resolve or settle legal proceedings or claims, or in the event of any adverse findings against Applied or any of its employees, Applied’s business, financial condition and results of operations could be materially and adversely affected and Applied may suffer harm to its reputation.
In addition, Applied’s success depends in significant part on the protection of its intellectual property and other rights. Infringement of Applied’s rights by a third party, such as the unauthorized manufacture or sale of equipment or spare parts, could result in uncompensated lost market and revenue opportunities for Applied. Applied’s intellectual property rights may not provide significant competitive advantages if they are circumvented, invalidated, rendered obsolete by the rapid pace of technological change, or if Applied does not adequately protect or assert these rights. Furthermore, the laws and practices of other countries, including China, India, Taiwan and Korea, permit the protection and enforcement of Applied’s rights to varying extents, which may not be sufficient to adequately protect Applied’s rights. Applied previously entered into an arrangement with one of its competitors to decrease the risk of patent infringement lawsuits in the future. There can be no assurance that the intended results of this arrangement will be achieved or that Applied will be able to adequately protect its intellectual property rights with the restrictions associated with the arrangement. If Applied is not able to favorably resolve or settle claims, obtain or enforce intellectual property rights, obtain necessary licenses on commercially reasonable terms, and/or successfully prosecute or defend its intellectual property position, Applied’s business, financial condition and results of operations could be materially and adversely affected and Applied may suffer harm to its reputation.
26
The failure to successfully implement and conduct outsourcing activities and other operational initiatives could adversely affect results of operations.
To better align its costs with market conditions, locate closer to customers, enhance productivity, and improve efficiencies, Applied conducts certain engineering, software development, manufacturing, sourcing and other operations in regions outside the United States, including India, Taiwan, China, and Korea. Applied has implemented a distributed manufacturing model, under which certain manufacturing and supply chain activities are conducted in various countries, including the United States, Europe, Israel, Singapore, Taiwan and other countries in Asia, and assembly of some systems is completed at customer sites. In addition, Applied outsources certain functions to third parties, including companies in the United States, India, China, Korea, Malaysia and other countries. Outsourced functions include contract manufacturing, engineering, customer support, software development, information technology support, finance and administrative activities. The expanding role of third party providers has required changes to Applied’s existing operations and the adoption of new procedures and processes for retaining and managing these providers, as well as redistributing responsibilities as warranted, in order to realize the potential productivity and operational efficiencies, assure quality and continuity of supply, and protect the intellectual property of Applied and its customers, suppliers and other partners. If Applied does not accurately forecast the amount, timing and mix of demand for products, or if contract manufacturers or other outsource providers fail to perform in a timely manner or at satisfactory quality levels, Applied’s ability to meet customer requirements could suffer, particularly during a market upturn.
In addition, Applied must regularly implement or update comprehensive programs and processes to better align its global organizations, including initiatives to enhance the Asia supply chain and improve back office and information technology infrastructure for more efficient transaction processing. Applied also is implementing a multi-year, company-wide program to transform certain business processes or extend established processes, including the transition to a single enterprise resource planning (ERP) software system to perform various functions. The implementation of additional functionality to the ERP system entails certain risks, including difficulties with changes in business processes that could disrupt Applied’s operations, such as its ability to track orders and timely ship products, project inventory requirements, manage its supply chain and aggregate financial and operational data. During transitions Applied must continue to rely on legacy information systems, which may be costly or inefficient, while the implementation of new initiatives may not achieve the anticipated benefits and may divert management’s attention from other operational activities, negatively affect employee morale, or have other unintended consequences.
If Applied does not effectively develop and implement its outsourcing and relocation strategies, if required export and other governmental approvals are not timely obtained, if Applied’s third party providers do not perform as anticipated, or if there are delays or difficulties in enhancing business processes, Applied may not realize anticipated productivity improvements or cost efficiencies, and may experience operational difficulties, increased costs (including energy and transportation), manufacturing interruptions or delays, inefficiencies in the structure and/or operation of its supply chain, loss of its intellectual property rights, quality issues, reputational harm, increased product time-to-market, and/or inefficient allocation of human resources, any or all of which could materially and adversely affect Applied’s business, financial condition and results of operations.
Applied may incur impairment charges to goodwill or long-lived assets.
Applied has a significant amount of goodwill and other acquired intangible assets related to acquisitions. Goodwill and purchased intangible assets with indefinite useful lives are not amortized, but are reviewed for impairment annually during the fourth quarter of each fiscal year, and more frequently when events or changes in circumstances indicate that the carrying value of an asset may not be recoverable. The review compares the fair value for each of Applied’s reporting units to its associated carrying value, including goodwill. Factors that could lead to impairment of goodwill and intangible assets include adverse industry or economic trends, reduced estimates of future cash flows, declines in the market price of Applied common stock, changes in Applied’s strategies or product portfolio, and restructuring activities. Applied’s valuation methodology for assessing impairment requires management to make judgments and assumptions based on historical experience and projections of future operating performance. For example, in the fourth quarter of fiscal 2012, Applied concluded that the carrying value of the Energy and Environmental Solutions reporting unit exceeded its fair value and accordingly recorded a $421 million goodwill impairment charge. Applied may be required to record future charges to earnings during the period in which an impairment of goodwill or amortizable intangible assets is determined to exist, which could materially and adversely affect Applied’s results of operations.
27
Changes in tax rates or tax assets and liabilities could affect results of operations.
As a global company, Applied is subject to taxation in the United States and various other countries. Significant judgment is required to determine and estimate worldwide tax liabilities. Applied’s future annual and quarterly tax rates could be affected by numerous factors, including changes in the: (1) applicable tax laws; (2) amount and composition of pre-tax income in countries with differing tax rates; (3) plans of the Company to permanently reinvest certain funds held outside of the U.S.; or (4) valuation of Applied’s deferred tax assets and liabilities.
To better align with the increasingly international nature of its business, Applied is transitioning certain manufacturing, supply chain, and other operations into Asia, bringing these activities closer to customers. These changes are expected to result in a reduction of future operating costs. Applied has received authorization to use tax incentives that provide that income earned in certain countries outside the U.S. will be subject to tax holidays or reduced income tax rates. To obtain the benefit of these tax provisions, Applied must meet requirements relating to various activities. Applied’s ability to realize benefits from these provisions could be materially affected if, among other things, applicable requirements are not met, or if Applied incurs net losses for which it cannot claim a deduction.
In addition, Applied is subject to regular examination by the Internal Revenue Service and other tax authorities, and from time to time initiates amendments to previously filed tax returns. Applied regularly assesses the likelihood of favorable or unfavorable outcomes resulting from these examinations and amendments to determine the adequacy of its provision for income taxes, which requires estimates and judgments. Although Applied believes its tax estimates are reasonable, there can be no assurance that the tax authorities will agree with such estimates. Applied may have to engage in litigation to achieve the results reflected in the estimates, which may be time-consuming and expensive. There can be no assurance that Applied will be successful or that any final determination will not be materially different from the treatment reflected in Applied’s historical income tax provisions and accruals, which could materially and adversely affect Applied’s financial condition and results of operations.
Applied is subject to risks of non-compliance with environmental and safety regulations.
Applied is subject to environmental and safety regulations in connection with its global business operations, including but not limited to: regulations related to the development, manufacture and use of its products; recycling and disposal of materials used in its products or in producing its products; the operation of its facilities; and the use of its real property. The failure or inability to comply with existing or future environmental and safety regulations, such as those related to climate change, could result in: (1) significant remediation liabilities; (2) the imposition of fines; (3) the suspension or termination of the development, manufacture, sale or use of certain of its products; (4) limitations on the operation of its facilities or ability to use its real property; and/or (5) a decrease in the value of its real property, each of which could have a material adverse effect on Applied’s business, financial condition and results of operations.
Applied is exposed to various risks related to the regulatory environment.
Applied is subject to various risks related to: (1) new, different, inconsistent or even conflicting laws, rules and regulations that may be enacted by executive order, legislative bodies and/or regulatory agencies in the countries in which Applied operates; (2) disagreements or disputes between national or regional regulatory agencies related to international trade; and (3) the interpretation and application of laws, rules and regulations. For example, as a public company with global operations, Applied is subject to the laws of multiple jurisdictions and the rules and regulations of various governing bodies, including those related to financial and other disclosures, corporate governance, privacy, and anti-corruption. Changes in laws, regulations and standards may create uncertainty regarding compliance matters. Efforts to comply with new and changing regulations have resulted in, and are likely to continue to result in, increased general and administrative expenses and a diversion of management time and attention from revenue-generating activities to compliance activities. If Applied is found by a court or regulatory agency not to be in compliance with applicable laws, rules or regulations, Applied could be subject to legal or regulatory sanctions, the public’s and customers’ perception of Applied could decline, and Applied’s business, financial condition and results of operations could be materially and adversely affected.
Item 1B: | Unresolved Staff Comments |
None.
28
Item 2: | Properties |
Information concerning Applied’s principal properties at October 28, 2012 is set forth below:
Location | Type | Principal Use | Square Footage | Ownership | ||
Santa Clara, CA | Office, Plant & Warehouse | Headquarters; Marketing; Manufacturing; Distribution; Research, Development, Engineering; Customer Support | 1,512,000 150,000 | Owned Leased | ||
Austin, TX | Office, Plant & Warehouse | Manufacturing | 1,719,000 145,000 | Owned Leased | ||
Rehovot, Israel | Office, Plant & Warehouse | Manufacturing; Research, Development, Engineering; Customer Support | 417,000 5,000 | Owned Leased | ||
Alzenau, Germany | Office, Plant & Warehouse | Manufacturing; Research, Development and Engineering | 281,000 | Leased | ||
Kalispell, MT | Office, Plant & Warehouse | Manufacturing; Research, Development, Engineering; Customer Support | 252,000 | Owned | ||
Cheseaux, Switzerland | Office, Plant & Warehouse | Manufacturing; Research, Development, Engineering; Customer Support | 165,000 | Leased | ||
Treviso, Italy | Office, Plant & Warehouse | Manufacturing; Research, Development, Engineering; Customer Support | 150,000 | Leased | ||
Singapore | Office, Plant & Warehouse | Manufacturing and Customer Support | 392,000 5,000 | Owned Leased | ||
Gloucester, MA | Office, Plant & Warehouse | Manufacturing; Research, Development, Engineering; Customer Support | 319,000 135,000 | Owned Leased | ||
Tainan, Taiwan | Office, Plant & Warehouse | Manufacturing and Customer Support | 320,000 | Owned | ||
Xi’an, China | Office, Plant & Warehouse | Research, Development and Engineering | 567,000 | Owned | ||
Hsinchu, Taiwan | Office & Warehouse | Customer Support | 93,000 6,000 | Owned Leased | ||
Shanghai, China | Office & Warehouse | Customer Support | 105,000 | Leased | ||
Because of the interrelation of Applied’s operations, properties within a country may be shared by the segments operating within that country. Products in the Silicon Systems Group are manufactured in Austin, Texas; Gloucester, Massachusetts; Rehovot, Israel; and Singapore. Remanufactured products in the Applied Global Services segment are produced primarily in Austin, Texas. Products in the Display segment are manufactured in Santa Clara, California; Alzenau, Germany; and Tainan, Taiwan. Products in the Energy and Environmental Solutions segment are primarily manufactured in Alzenau, Germany; Cheseaux, Switzerland; and Treviso, Italy.
In addition to the above properties, Applied leases office space for marketing, sales, engineering and customer support offices in 79 locations throughout the world: 17 in Europe, 23 in Japan, 16 in North America (principally the United States), 7 in China, 7 in Korea, 6 in Southeast Asia, and 3 in Taiwan.
Applied also owns 112 acres of buildable land in Texas that could accommodate approximately 1,708,000 square feet of additional building space, 12.5 acres in California that could accommodate approximately 400,000 square feet of additional building space, 10.8 acres in Massachusetts that could accommodate approximately 65,000 square feet of additional building space and 10 acres in Israel that could accommodate approximately 111,000 square feet of additional building space. Applied also leases 4 acres in Italy that could accommodate approximately 180,000 square feet of additional building space.
Applied considers the properties that it owns or leases as adequate to meet its current and future requirements. Applied regularly assesses the size, capability and location of its global infrastructure and periodically makes adjustments based on these assessments.
29
Item 3: | Legal Proceedings |
The information set forth under “Legal Matters” in Note 15 of Notes to Consolidated Financial Statements is incorporated herein by reference.
Item 4: | Mine Safety Disclosures |
None.
PART II
Item 5: | Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities |
Market Information
The following table sets forth the high and low closing sale prices for the periods presented as reported on the NASDAQ Global Select Market.
Price Range | |||||||
High | Low | ||||||
Fiscal 2011 | |||||||
First quarter | $ | 16.10 | $ | 12.37 | |||
Second quarter | $ | 16.85 | $ | 14.46 | |||
Third quarter | $ | 15.24 | $ | 12.27 | |||
Fourth quarter | $ | 12.62 | $ | 9.85 | |||
Fiscal 2012 | |||||||
First quarter | $ | 12.73 | $ | 10.13 | |||
Second quarter | $ | 13.21 | $ | 11.49 | |||
Third quarter | $ | 11.99 | $ | 10.01 | |||
Fourth quarter | $ | 12.05 | $ | 10.65 | |||
Applied’s common stock is traded on the NASDAQ Global Select Market under the symbol AMAT. As of November 21, 2012, there were 3,895 registered holders of Applied common stock.
30
Performance Graph
The performance graph below shows the five-year cumulative total stockholder return on Applied common stock during the period from October 28, 2007 through October 28, 2012. This is compared with the cumulative total return of the Standard & Poor’s 500 Stock Index and the RDG Semiconductor Composite Index over the same period. The comparison assumes $100 was invested on October 28, 2007 in Applied common stock and in each of the foregoing indices and assumes reinvestment of dividends, if any. Dollar amounts in the graph are rounded to the nearest whole dollar. The performance shown in the graph represents past performance and should not be considered an indication of future performance.
COMPARISON OF 5 YEAR CUMULATIVE TOTAL RETURN*
Among Applied Materials, Inc., the S&P 500 Index
and the RDG Semiconductor Composite Index
* | $100 invested on 10/28/07 in stock or 10/31/07 in index, including reinvestment of dividends. |
Indexes calculated on month-end basis.
Copyright© 2012 S&P, a division of The McGraw-Hill Companies Inc. All rights reserved.
10/28/2007 | 10/26/2008 | 10/25/2009 | 10/31/2010 | 10/30/2011 | 10/28/2012 | ||||||||||||
Applied Materials | 100.00 | 61.22 | 71.06 | 69.23 | 72.37 | 62.92 | |||||||||||
S&P 500 Index | 100.00 | 63.90 | 70.17 | 81.76 | 88.37 | 101.81 | |||||||||||
RDG Semiconductor Composite Index | 100.00 | 54.74 | 68.59 | 84.46 | 91.33 | 82.37 | |||||||||||
Dividends
During fiscal 2012, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.09 per share each and one quarterly cash dividend in the amount of $0.08 per share. During fiscal 2011, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.08 per share each and one quarterly cash dividend in the amount of $0.07 per share. During fiscal 2010, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.07 per share each and one quarterly cash dividend in the amount of $0.06. Dividends declared during fiscal 2012, 2011 and 2010 amounted to $438 million, $408 million and $361 million, respectively. Applied currently anticipates that it will continue to pay cash dividends on a quarterly basis in the future, although the declaration and amount of any future cash dividends are at the discretion of the Board of Directors and will depend on Applied’s financial condition, results of operations, capital requirements, business conditions and other factors, as well as a determination that cash dividends are in the best interests of Applied’s stockholders.
31
Repurchases of Applied Common Stock
The following table provides information as of October 28, 2012 with respect to the shares of common stock repurchased by Applied during the fourth quarter of fiscal 2012.
Period | Total Number of Shares Purchased | Average Price Paid per Share | Aggregate Price Paid | Total Number of Shares Purchased as Part of Publicly Announced Program* | Maximum Dollar Value of Shares That May Yet be Purchased Under the Program* | ||||||||||||
(In millions, except per share amounts) | |||||||||||||||||
Month #1 | |||||||||||||||||
(July 30, 2012 to August 26, 2012) | 5.7 | $ | 11.82 | $ | 67 | 5.7 | $ | 2,279 | |||||||||
Month #2 | |||||||||||||||||
(August 27, 2012 to September 23, 2012) | 19.2 | $ | 11.69 | 224 | 19.2 | $ | 2,055 | ||||||||||
Month #3 | |||||||||||||||||
(September 24, 2012 to October 28, 2012) | 20.2 | $ | 11.13 | 225 | 20.2 | $ | 1,830 | ||||||||||
Total | 45.1 | $ | 11.46 | $ | 516 | 45.1 | |||||||||||
* | On March 5, 2012, the Board of Directors approved a new stock repurchase program authorizing up to $3.0 billion in repurchases over the next three years, ending March 2015. |
32
Item 6: | Selected Financial Data |
The following selected financial information has been derived from Applied’s historical audited consolidated financial statements and should be read in conjunction with the consolidated financial statements and the accompanying notes for the corresponding fiscal years:
Fiscal Year(1) | 2012 | 2011 | 2010 | 2009 | 2008 | ||||||||||||||
(In millions, except percentages, per share amounts and number of employees) | |||||||||||||||||||
Net sales | $ | 8,719 | $ | 10,517 | $ | 9,549 | $ | 5,014 | $ | 8,129 | |||||||||
Gross margin | $ | 3,313 | $ | 4,360 | $ | 3,715 | $ | 1,431 | $ | 3,443 | |||||||||
(% of net sales) | 38.0 | 41.5 | 38.9 | 28.5 | 42.4 | ||||||||||||||
Research, development and engineering | $ | 1,237 | $ | 1,118 | $ | 1,143 | $ | 934 | $ | 1,104 | |||||||||
(% of net sales) | 14.2 | 10.6 | 12.0 | 18.6 | 13.6 | ||||||||||||||
Selling, general and administrative | $ | 1,076 | $ | 901 | $ | 942 | $ | 735 | $ | 965 | |||||||||
(% of net sales) | 12.3 | 8.6 | 9.9 | 14.7 | 11.9 | ||||||||||||||
Operating income (loss) | $ | 411 | $ | 2,398 | $ | 1,384 | $ | (394 | ) | $ | 1,355 | ||||||||
(% of net sales) | 4.7 | 22.8 | 14.5 | (7.9 | ) | 16.7 | |||||||||||||
Income (loss) before income taxes | $ | 316 | $ | 2,378 | $ | 1,387 | $ | (486 | ) | $ | 1,409 | ||||||||
Effective tax rate (%) | 65.5 | 19.0 | 32.4 | (37.2 | ) | 31.8 | |||||||||||||
Net income (loss) | $ | 109 | $ | 1,926 | $ | 938 | $ | (305 | ) | $ | 961 | ||||||||
(% of net sales) | 1.3 | 18.3 | 9.8 | (6.1 | ) | 11.8 | |||||||||||||
Earnings (loss) per diluted share | $ | 0.09 | $ | 1.45 | $ | 0.70 | $ | (0.23 | ) | $ | 0.70 | ||||||||
Weighted average common shares, diluted | 1,277 | 1,330 | 1,349 | 1,333 | 1,375 | ||||||||||||||
New orders | $ | 8,037 | $ | 10,142 | $ | 10,249 | $ | 4,097 | $ | 9,155 | |||||||||
Order backlog | $ | 1,606 | $ | 2,392 | $ | 3,244 | $ | 2,735 | $ | 4,848 | |||||||||
Working capital | $ | 2,837 | $ | 7,561 | $ | 3,877 | $ | 3,749 | $ | 3,719 | |||||||||
Long-term debt | $ | 1,946 | $ | 1,947 | $ | 204 | $ | 201 | $ | 202 | |||||||||
Cash dividends declared per common share | $ | 0.35 | $ | 0.31 | $ | 0.27 | $ | 0.24 | $ | 0.24 | |||||||||
Stockholders’ equity | $ | 7,235 | $ | 8,800 | $ | 7,536 | $ | 7,095 | $ | 7,549 | |||||||||
Total assets | $ | 12,102 | $ | 13,861 | $ | 10,943 | $ | 9,574 | $ | 11,006 | |||||||||
Capital expenditures | $ | 162 | $ | 209 | $ | 169 | $ | 249 | $ | 288 | |||||||||
Regular employees | 14,526 | 12,973 | 13,045 | 12,619 | 14,824 | ||||||||||||||
(1) | Each fiscal year ended on the last Sunday in October. |
33
Item 7: | Management’s Discussion and Analysis of Financial Condition and Results of Operations |
Introduction
Management’s Discussion and Analysis of Financial Condition and Results of Operations (MD&A) is intended to facilitate an understanding of Applied’s business and results of operations. This MD&A should be read in conjunction with Applied’s Consolidated Financial Statements and the accompanying Notes to Consolidated Financial Statements included elsewhere in this Form 10-K. The following discussion contains forward-looking statements and should also be read in conjunction with the cautionary statement set forth at the beginning of this Form 10-K. MD&A consists of the following sections:
• | Overview: a summary of Applied’s business and measurements |
• | Results of Operations: a discussion of operating results |
• | Segment Information: a discussion of segment operating results |
• | Business Combinations: a summary or overview of acquired businesses |
• | Recent Accounting Pronouncements: a discussion of new accounting pronouncements and its impact to Applied's consolidated financial statements |
• | Financial Condition, Liquidity and Capital Resources: an analysis of cash flows, sources and uses of cash, contractual obligations and financial position |
• | Off-Balance Sheet Arrangements and Contractual Obligations |
• | Critical Accounting Policies: a discussion of critical accounting policies that require the exercise of judgments and estimates |
• | Non-GAAP Results: a presentation of results reconciling GAAP to non-GAAP measures |
Overview
Applied provides manufacturing equipment, services and software to the global semiconductor, flat panel display, solar photovoltaic (PV) and related industries. Applied’s customers include manufacturers of semiconductor wafers and chips, flat panel liquid crystal displays (LCDs), solar PV cells and modules, and other electronic devices. These customers may use what they manufacture in their own end products or sell the items to other companies for use in advanced electronic components. Applied operates in four reportable segments: Silicon Systems Group, Applied Global Services, Display, and Energy and Environmental Solutions. A summary of financial information for each reportable segment is found in Note 16 of Notes to Consolidated Financial Statements. A discussion of factors that could affect Applied’s operations is set forth under “Risk Factors” in Item 1A, which is incorporated herein by reference. Product development and manufacturing activities occur primarily in North America, Europe and Asia. Applied’s broad range of equipment and service products are highly technical and are sold primarily through a direct sales force.
Applied’s results historically have been driven primarily by worldwide demand for semiconductors, which in turn depends on end-user demand for electronic products. Each of Applied’s businesses is subject to highly cyclical industry conditions, as demand for manufacturing equipment and services can change depending on supply and demand for chips, LCDs, solar PVs and other electronic devices, as well as other factors, such as global economic and market conditions, and technological advances in fabrication processes. In light of this cyclicality, Applied's results can vary significantly year-over-year, as well as quarter-over-quarter.
34
The following table presents certain significant measurements for the past three fiscal years:
Change | |||||||||||||||||||
Fiscal Year | 2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | ||||||||||||||
(In millions, except per share amounts and percentages) | |||||||||||||||||||
New orders | $ | 8,037 | $ | 10,142 | $ | 10,249 | $ | (2,105 | ) | $ | (107 | ) | |||||||
Net sales | $ | 8,719 | $ | 10,517 | $ | 9,549 | $ | (1,798 | ) | $ | 968 | ||||||||
Gross margin | $ | 3,313 | $ | 4,360 | $ | 3,715 | $ | (1,047 | ) | $ | 645 | ||||||||
Gross margin percent | 38.0 | % | 41.5 | % | 38.9 | % | (3.5) points | 2.6 points | |||||||||||
Operating income | $ | 411 | $ | 2,398 | $ | 1,384 | $ | (1,987 | ) | $ | 1,014 | ||||||||
Operating margin percent | 4.7 | % | 22.8 | % | 14.5 | % | (18.1) points | 8.3 points | |||||||||||
Net income | $ | 109 | $ | 1,926 | $ | 938 | $ | (1,817 | ) | $ | 988 | ||||||||
Earnings per diluted share | $ | 0.09 | $ | 1.45 | $ | 0.70 | $ | (1.36 | ) | $ | 0.75 | ||||||||
Non-GAAP Results | |||||||||||||||||||
Gross margin | $ | 3,566 | $ | 4,397 | $ | 3,788 | $ | (831 | ) | $ | 609 | ||||||||
Gross margin percent | 40.9 | % | 41.8 | % | 39.7 | % | (0.9) points | 2.1 points | |||||||||||
Operating income | $ | 1,379 | $ | 2,411 | $ | 1,731 | $ | (1,032 | ) | $ | 680 | ||||||||
Operating margin percent | 15.8 | % | 22.9 | % | 18.1 | % | (7.1) points | 4.8 points | |||||||||||
Net income | $ | 960 | $ | 1,723 | $ | 1,181 | $ | (763 | ) | $ | 542 | ||||||||
Earnings per diluted share | $ | 0.75 | $ | 1.30 | $ | 0.88 | $ | (0.55 | ) | $ | 0.42 | ||||||||
Reconciliations of non-GAAP measures are presented under "Non-GAAP Results" below. Fiscal 2012 and 2011 each contained 52 weeks each, while fiscal 2010 contained 53 weeks.
Fiscal 2012 was a year characterized by significant fluctuations in demand for semiconductor equipment, which is Applied's largest business, coupled with an extremely weak market environment for display and solar equipment. Mobility, connectivity and cloud computing trends drove the semiconductor industry spending, with mobility as the biggest influence. Applied also completed its acquisition of Varian in the first quarter of fiscal 2012. Consumer buying patterns for electronic products, combined with growing semiconductor customer concentration, contributed to a seasonality effect, with relatively strong demand for semiconductor equipment led by foundry customers during the first half of fiscal 2012, followed by softening of demand from foundry and logic customers in the third quarter of fiscal 2012 and further declines across all categories of wafer fab equipment customers in the fourth quarter of fiscal 2012. Low investment levels for display equipment continued in fiscal 2012, characterized by decreased capacity requirements for larger flat panel televisions as conditions in this industry remained challenging. As with the semiconductor industry, demand for mobility products, such as smartphones and tablets, significantly influenced equipment spending in the display industry. In the solar industry, fiscal 2012 was characterized by continued excess manufacturing capacity, which led to significantly reduced demand for c-Si equipment, as well as weaker operating performance and outlook by the fourth quarter of fiscal 2012.
The first nine months of fiscal 2011 reflected increased demand across all segments except Display due to more favorable global economic and industry conditions than in fiscal 2010, although demand softened for semiconductor, LCD and solar equipment in the last quarter of fiscal 2011. Towards the end of fiscal 2011, the semiconductor, LCD and solar industries were negatively impacted by uncertainty in the macroeconomic environment and the LCD and solar equipment industries were also negatively impacted by overcapacity.
Applied's strategic priorities for 2013 include expanding market share in wafer fab equipment and growing its technical capabilities, extending its technology leadership in solar and display equipment and enhancing its organization in key product areas. In semiconductor equipment, Applied intends to increase investment in 300mm and 450mm research and development and enhance the technical field team. In addition, Applied plans to make selective investments in developing enabling technologies for display and solar products.
35
Results of Operations
The following table presents certain quarterly and full fiscal year financial information:
Fiscal Quarter | Fiscal Year | ||||||||||||||||||
First | Second | Third | Fourth | ||||||||||||||||
(In millions, except per share amounts) | |||||||||||||||||||
2012: | |||||||||||||||||||
New orders | $ | 2,008 | $ | 2,765 | $ | 1,799 | $ | 1,465 | $ | 8,037 | |||||||||
Net sales | $ | 2,189 | $ | 2,541 | $ | 2,343 | $ | 1,646 | $ | 8,719 | |||||||||
Gross margin | $ | 786 | $ | 1,011 | $ | 930 | $ | 586 | $ | 3,313 | |||||||||
Operating income (loss) | $ | 179 | $ | 409 | $ | 322 | $ | (499 | ) | $ | 411 | ||||||||
Net income (loss) | $ | 117 | $ | 289 | $ | 218 | $ | (515 | ) | $ | 109 | ||||||||
Earnings (loss) per diluted share | $ | 0.09 | $ | 0.22 | $ | 0.17 | $ | (0.42 | ) | $ | 0.09 | ||||||||
2011: | |||||||||||||||||||
New orders | $ | 2,971 | $ | 3,185 | $ | 2,390 | $ | 1,596 | $ | 10,142 | |||||||||
Net sales | $ | 2,686 | $ | 2,862 | $ | 2,787 | $ | 2,182 | $ | 10,517 | |||||||||
Gross margin | $ | 1,136 | $ | 1,189 | $ | 1,184 | $ | 852 | $ | 4,360 | |||||||||
Operating income | $ | 674 | $ | 677 | $ | 687 | $ | 361 | $ | 2,398 | |||||||||
Net income | $ | 506 | $ | 489 | $ | 476 | $ | 456 | $ | 1,926 | |||||||||
Earnings per diluted share | $ | 0.38 | $ | 0.37 | $ | 0.36 | $ | 0.34 | $ | 1.45 | |||||||||
2010: | |||||||||||||||||||
New orders | $ | 1,965 | $ | 2,533 | $ | 2,725 | $ | 3,026 | $ | 10,249 | |||||||||
Net sales | $ | 1,849 | $ | 2,296 | $ | 2,518 | $ | 2,886 | $ | 9,549 | |||||||||
Gross margin | $ | 711 | $ | 927 | $ | 860 | $ | 1,217 | $ | 3,715 | |||||||||
Operating income | $ | 116 | $ | 386 | $ | 183 | $ | 699 | $ | 1,384 | |||||||||
Net income | $ | 83 | $ | 264 | $ | 123 | $ | 468 | $ | 938 | |||||||||
Earnings per diluted share | $ | 0.06 | $ | 0.20 | $ | 0.09 | $ | 0.35 | $ | 0.70 | |||||||||
Orders and sales for manufacturing equipment historically has been volatile across all segments as a result of sudden changes in demand and other factors, including global economic and market conditions and rapid technological advances in fabrication processes. Applied’s business was subject to cyclical industry conditions in fiscal 2012, 2011 and 2010. As a result of these conditions and the changing global economic environment, there were significant fluctuations in Applied’s quarterly new orders and net sales, both within and across the three fiscal years. As of the end of fiscal 2012 and 2011, the semiconductor, display and solar equipment industries were each in a capacity-driven downturn. The nature and timing of a recovery in capital equipment investment are expected to depend largely on the macroeconomic environment.
36
New Orders
New orders by geographic region, determined by the product shipment destination specified by the customer, were as follows:
2012 | Change 2012 over 2011 | 2011 | Change 2011 over 2010 | 2010 | ||||||||||||||||||||
(In millions, except percentages) | ||||||||||||||||||||||||
Taiwan | $ | 2,155 | 27 | % | (4)% | $ | 2,235 | 22 | % | (19)% | $ | 2,760 | 27 | % | ||||||||||
China | 403 | 5 | % | (80)% | 2,066 | 20 | % | (4)% | 2,155 | 21 | % | |||||||||||||
Korea | 1,784 | 22 | % | 39% | 1,286 | 13 | % | (24)% | 1,703 | 17 | % | |||||||||||||
Japan | 600 | 7 | % | (40)% | 1,001 | 10 | % | 35% | 741 | 7 | % | |||||||||||||
Southeast Asia | 283 | 4 | % | (39)% | 463 | 5 | % | (31)% | 675 | 7 | % | |||||||||||||
Asia Pacific | 5,225 | 65 | % | (26)% | 7,051 | 70 | % | (12)% | 8,034 | 79 | % | |||||||||||||
United States | 1,995 | 25 | % | (4)% | 2,069 | 20 | % | 53% | 1,348 | 13 | % | |||||||||||||
Europe | 817 | 10 | % | (20)% | 1,022 | 10 | % | 18% | 867 | 8 | % | |||||||||||||
Total | $ | 8,037 | 100 | % | (21)% | $ | 10,142 | 100 | % | (1)% | $ | 10,249 | 100 | % | ||||||||||
New orders for fiscal 2012 decreased from fiscal 2011, reflecting a steep decline in demand for c-Si solar equipment, combined with reduced demand for LCD TV and semiconductor equipment, partially offset by new orders of $1.0 billion attributable to Varian. The reduction in new orders from customers in China primarily reflected the decreased investments in the solar industry due to overcapacity. Customers in Taiwan, United States and Korea together represented 74 percent of total new orders for fiscal 2012. In the fourth quarter of fiscal 2012, new orders were $1.5 billion, down 19 percent from the prior quarter, reflecting lower semiconductor equipment demand, partially offset by modest order increases in other segments.
New orders for fiscal 2011 were slightly down from fiscal 2010, primarily attributable to decreased demand for semiconductor equipment from memory customers and decreased demand for LCD TV equipment, partially offset by increased demand for touch panel tools from display customers and increased demand for c-Si equipment from solar manufacturers. Customers in Taiwan, United States and China together represented 62 percent of total new orders for fiscal 2011. In the fourth quarter of fiscal 2011, new orders were $1.6 billion, down 33 percent from the third quarter of fiscal 2011.
New orders by reportable segment for the past three fiscal years were as follows:
2012 | Change 2012 over 2011 | 2011 | Change 2011 over 2010 | 2010 | ||||||||||||||||||||
(In millions, except percentages) | ||||||||||||||||||||||||
Silicon Systems Group | $ | 5,294 | 66 | % | (4)% | $ | 5,489 | 54 | % | (5)% | $ | 5,759 | 56 | % | ||||||||||
Applied Global Services | 2,274 | 28 | % | (3)% | 2,333 | 23 | % | 7% | 2,183 | 21 | % | |||||||||||||
Display | 274 | 4 | % | (57)% | 636 | 6 | % | (20)% | 799 | 8 | % | |||||||||||||
Energy and Environmental Solutions | 195 | 2 | % | (88)% | 1,684 | 17 | % | 12% | 1,508 | 15 | % | |||||||||||||
Total | $ | 8,037 | 100 | % | (21)% | $ | 10,142 | 100 | % | (1)% | $ | 10,249 | 100 | % | ||||||||||
New orders for fiscal 2012 decreased for all segments compared to the same periods in the prior year, mostly due to the excess manufacturing capacity in the solar industry and the continued down cycle in the display industry, partially offset by the addition of orders attributable to Varian of $1.0 billion. The Silicon Systems Group's and Applied Global Services' relative share of total new orders increased compared to the prior year as a result of the addition of Varian and the sharp decrease in orders in Display and Energy and Environmental Solutions.
For fiscal 2011 as compared to fiscal 2010, new orders by segment as well as the relative share of total new orders for the Silicon Systems Group and Display decreased, while new orders by segment as well as the relative share of new orders in Applied Global Services and Energy and Environmental Solutions increased.
37
Changes in backlog during fiscal 2012 and 2011 were as follows:
2012 | 2011 | ||||||
(In millions) | |||||||
Beginning balance | $ | 2,392 | $ | 3,244 | |||
New orders | 8,037 | 10,142 | |||||
Net sales | (8,719 | ) | (10,517 | ) | |||
Net adjustments | (104 | ) | (477 | ) | |||
Ending balance | $ | 1,606 | $ | 2,392 | |||
Backlog consists of: (1) orders for which written authorizations have been accepted and assigned shipment dates are within the next 12 months, or shipment has occurred but revenue has not been recognized; and (2) contractual service revenue and maintenance fees to be earned within the next 12 months. Applied’s backlog at any particular time is not necessarily indicative of actual sales for any future periods, due to the potential for customer changes in delivery schedules or cancellation of orders. Approximately 75 percent of the backlog as of the end of fiscal 2012 is anticipated to be shipped within the first two quarters of fiscal 2013.
Applied’s backlog was $1.6 billion at October 28, 2012 as compared to $2.4 billion at October 30, 2011. Backlog adjustments were negative for fiscal 2012 and totaled $104 million, primarily consisting of customer cancellations.
Backlog by reportable segment as of October 28, 2012 and October 30, 2011 was as follows:
2012 | Change 2012 over 2011 | 2011 | |||||||||||||
(In millions, except percentages) | |||||||||||||||
Silicon Systems Group | $ | 705 | 44 | % | (23)% | $ | 913 | 38 | % | ||||||
Applied Global Services | 580 | 36 | % | (12)% | 662 | 28 | % | ||||||||
Display | 206 | 13 | % | (39)% | 337 | 14 | % | ||||||||
Energy and Environmental Solutions | 115 | 7 | % | (76)% | 480 | 20 | % | ||||||||
Total | $ | 1,606 | 100 | % | (33)% | $ | 2,392 | 100 | % | ||||||
Backlog decreased in fiscal 2012 from fiscal 2011 across all segments reflecting decreased demand for semiconductor, LCD and solar equipment. In the fourth quarter of fiscal 2012, approximately 53 percent of net sales in the Silicon Systems Group, Applied’s largest business segment, were for orders received and shipped within the quarter, up from 45 percent in the fourth quarter of fiscal 2011.
Net Sales
Net sales by geographic region, determined by the location of customers' facilities to which products were shipped, were as follows:
2012 | Change 2012 over 2011 | 2011 | Change 2011 over 2010 | 2010 | ||||||||||||||||||||
(In millions, except percentages) | ||||||||||||||||||||||||
Taiwan | 2,411 | 28 | % | 15% | 2,093 | 20 | % | (24)% | 2,750 | 29 | % | |||||||||||||
China | 783 | 9 | % | (70)% | 2,574 | 24 | % | 65% | 1,557 | 16 | % | |||||||||||||
Korea | 1,897 | 22 | % | 50% | 1,263 | 12 | % | (29)% | 1,768 | 19 | % | |||||||||||||
Japan | 704 | 8 | % | (23)% | 912 | 9 | % | 19% | 768 | 8 | % | |||||||||||||
Southeast Asia | 312 | 3 | % | (47)% | 592 | 5 | % | 2% | 578 | 6 | % | |||||||||||||
Asia Pacific | 6,107 | 70 | % | (18)% | 7,434 | 70 | % | —% | 7,421 | 78 | % | |||||||||||||
United States | 1,749 | 20 | % | (11)% | 1,963 | 19 | % | 71% | 1,147 | 12 | % | |||||||||||||
Europe | 863 | 10 | % | (23)% | 1,120 | 11 | % | 14% | 981 | 10 | % | |||||||||||||
Total | $ | 8,719 | 100 | % | (17)% | $ | 10,517 | 100 | % | 10% | $ | 9,549 | 100 | % | ||||||||||
38
Net sales for fiscal 2012 decreased from the prior year, primarily due to decreased industry investment in c-Si solar products and LCD TV equipment, partially offset by sales attributable to Varian. Net sales attributable to Varian were $1.0 billion for fiscal 2012. The reduction in net sales from customers in China primarily reflected the decreased investments in the solar and display industries due to overcapacity. Customers in Taiwan, Korea and United States combined represented 70 percent of total net sales in fiscal 2012. In the fourth quarter of fiscal 2012, net sales were $1.6 billion, down 30 percent from the prior quarter, led by a reduction in semiconductor equipment sales.
Net sales for fiscal 2011 increased from fiscal 2010, primarily due to increased industry investment in c-Si solar equipment and higher sales of spares and refurbished semiconductor equipment. Customers in China, Taiwan and United States combined represented 63 percent of total net sales in fiscal 2011.
Net sales by reportable segment for the past three fiscal years were as follows:
2012 | Change 2012 over 2011 | 2011 | Change 2011 over 2010 | 2010 | ||||||||||||||||||||
(In millions, except percentages) | ||||||||||||||||||||||||
Silicon Systems Group | $ | 5,536 | 64 | % | 2% | $ | 5,415 | 51 | % | 2% | $ | 5,304 | 56 | % | ||||||||||
Applied Global Services | 2,285 | 26 | % | (5)% | 2,413 | 23 | % | 29% | 1,865 | 20 | % | |||||||||||||
Display | 473 | 5 | % | (32)% | 699 | 7 | % | (22)% | 899 | 9 | % | |||||||||||||
Energy and Environmental Solutions | 425 | 5 | % | (79)% | 1,990 | 19 | % | 34% | 1,481 | 15 | % | |||||||||||||
Total | $ | 8,719 | 100 | % | (17)% | $ | 10,517 | 100 | % | 10% | $ | 9,549 | 100 | % | ||||||||||
For fiscal 2012 as compared to fiscal 2011, net sales in the Silicon Systems Group increased slightly while net sales across all other segments decreased. The decrease reflected lower investments in c-Si solar and LCD TV equipment, partially offset by sales attributable to Varian. The Silicon Systems Group's relative share of total net sales increased compared to the prior year and remains the largest contributor of net sales.
For fiscal 2011 as compared to fiscal 2010, net sales in the Silicon Systems Group remained essentially flat while net sales in Energy and Environment Solutions and Applied Global Services increased due to increased demand for c-Si equipment, and spare parts and refurbished equipment, respectively. Net sales in Display decreased during fiscal 2011 as compared to fiscal 2010 due to a weaker LCD TV market. For fiscal 2011 as compared to fiscal 2010, the relative share of total net sales in the Silicon Systems Group decreased, while the relative share of total net sales in Energy and Environmental Solutions increased. The increase in Energy and Environmental Solutions’ relative share of total net sales was due to increased demand for c-Si equipment.
Gross Margin
Gross margins for the past three fiscal years were as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions, except percentages) | |||||||||||||||||||
Gross margin | $ | 3,313 | $ | 4,360 | $ | 3,715 | $ | (1,047 | ) | $ | 645 | ||||||||
Gross margin (% of net sales) | 38.0 | % | 41.5 | % | 38.9 | % | (3.5) points | 2.6 points | |||||||||||
Non-GAAP Results | |||||||||||||||||||
Gross margin | $ | 3,566 | $ | 4,397 | $ | 3,788 | $ | (831 | ) | $ | 609 | ||||||||
Gross margin (% of net sales) | 40.9 | % | 41.8 | % | 39.7 | % | (0.9) points | 2.1 points | |||||||||||
Reconciliations of non-GAAP measures are presented under "Non-GAAP Results" below.
Gross margin decreased in fiscal 2012 from fiscal 2011 due primarily to changes in segment and customer mix, inventory fair value adjustments and intangible asset amortization associated with purchase accounting, additional inventory reserves and lower net sales, partially offset by sales for a single thin film solar production line, for which inventory was fully reserved prior to fiscal 2012. Inventory fair value adjustments and intangible asset amortization, mostly associated with the acquisition of Varian, were $253 million in fiscal 2012. Inventory charges of approximately $290 million were recorded in fiscal 2012 as a result of the softening of demand for semiconductor-related businesses and continued weakness in the solar industry. Of the total inventory charges during fiscal 2012, $13 million were recorded in connection with the restructuring of the Energy and Environmental Solutions segment. The increase in gross margin and non-GAAP gross margin in fiscal 2011 from fiscal 2010 was principally
39
attributable to the inventory-related charges of $330 million incurred in fiscal 2010 associated with SunFab thin film solar equipment, which lowered gross margin for fiscal 2010 by approximately 3 percentage points. Gross margin during fiscal 2012, 2011 and 2010 included $54 million, $48 million and $32 million, respectively, of share-based compensation expense.
Research, Development and Engineering
Research, Development and Engineering (RD&E) expenses for the past three fiscal years were as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions) | |||||||||||||||||||
Research, development and engineering | $ | 1,237 | $ | 1,118 | $ | 1,143 | $ | 119 | $ | (25 | ) | ||||||||
Applied’s future operating results depend to a considerable extent on its ability to maintain a competitive advantage in the equipment and service products it provides. Applied believes that it is critical to continue to make substantial investments in RD&E to assure the availability of innovative technology that meets the current and projected requirements of its customers’ most advanced designs. Applied historically has maintained its commitment to investing in RD&E in order to continue to offer new products and technologies. The increase in RD&E for fiscal 2012 compared to the prior year was primarily due to the RD&E expenses related to Varian of approximately $180 million and continued investment in the development of smaller linewidths and 450mm wafer equipment, partially offset by lower investments in solar R&D projects and the cessation of LED equipment development. Development cycles range from 12 to 36 months depending on whether the product is an enhancement of an existing product, which typically has a shorter development cycle, or a new product, which typically has a longer development cycle. Most of Applied’s existing products resulted from internal development activities and innovations involving new technologies, materials and processes. In certain instances, Applied acquires technologies, either in existing or new product areas, to complement its existing technology capabilities and to reduce time to market. RD&E expense during fiscal 2012, 2011 and 2010 included $54 million, $46 million and $43 million, respectively, of share-based compensation expense.
In fiscal 2012, Applied developed transistor and interconnect technologies for scaling chip features to the 14nm node and beyond. Applied focused on processes to enable the formation of three dimensional transistor and memory storage architectures that improve the performance and energy efficiency of devices. For advanced interconnects, Applied focused on process technology for the void-free filling of smaller, narrower wiring structures with copper material. Complementary to this technology was the optimization of low k films to increase device performance and lengthen battery life. Efforts continued on bringing down the cost of through-silicon via (TSV) technology for packaging chips with the development of new low temperature conformal films that are also applicable for new backside-illuminated image sensors. TSV technologies permit interconnecting 3D chip stacks to enable better device performance, lower power consumption and the integration of heterogeneous devices. The Company also continued to invest in the development of 450mm wafer equipment. For LCD manufacturing, Applied developed new processes to fabricate metal oxide-based transistors, which provide smaller, faster-switching pixels for higher resolution displays. In solar, Applied focused on technology to increase the efficiency and cost-effectiveness of solar cells by optimizing the structure of its conducting lines.
In fiscal 2011, Applied developed logic and memory chip technologies to meet the requirements of manufacturing below the 22nm node. These systems were designed to help semiconductor customers continue their drive to pack more transistors in the same space using high-k/metal gate technologies and double patterning processes. These technologies include low k dielectrics and curing for interconnect structures and high-k dielectric materials and ALD processes for fabricating transistor gates. Applied also focused on processes to help customers build new three-dimensional (3D) gate structures. In addition, Applied continued to focus on optimizing the cost-effectiveness of TSV technologies to enable their widespread implementation. Applied also invested in other new product development areas such as 450mm wafer systems. For LCD manufacturing, Applied developed deposition systems to enable larger organic light-emitting diode (OLED), LCD and touch-enabled displays. In solar, Applied focused on screen printing technology to keep pace with cell manufacturers’ new higher-efficiency cell designs. Another key development area was “smart” capability, which brings a new level of precision and control to the PV production process.
In fiscal 2010, Applied developed new technology to enable next-generation 22nm and below chip designs. Applied also developed technology for TSVs. In the solar PV area, Applied continued the development of its precision wafering and cell manufacturing products for lowering the cost of producing solar-generated electricity through advanced c-Si technology. RD&E also included activities to develop products that enable lower-cost production of solar energy, production of LED devices for display backlighting and general lighting, and other products to enable energy conservation.
40
Selling, General and Administrative
Selling, general and administrative (SG&A) expenses for the past three fiscal years were as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions) | |||||||||||||||||||
Selling, general and administrative | $ | 1,076 | $ | 901 | $ | 942 | $ | 175 | $ | (41 | ) | ||||||||
The increase in SG&A expenses for fiscal 2012 compared to fiscal 2011 is primarily attributable to acquisition-related costs and other SG&A expenses incurred in connection with Varian which totaled $190 million, partially offset by lower variable compensation and savings associated with temporary shutdowns. The decrease in SG&A expenses for fiscal 2011 compared to fiscal 2010 reflected lower expenses as a result of the restructuring of the Energy and Environmental Solutions segment that occurred in fiscal 2010. SG&A expenses for fiscal 2011 included $19 million in transaction costs associated with the acquisition of Varian, while fiscal 2010 included $10 million in transaction costs associated with the acquisition of Semitool, Inc. SG&A expenses during fiscal 2012, 2011 and 2010 included $74 million, $52 million and $51 million, respectively, of share-based compensation expense.
Impairment of Goodwill
Applied tested goodwill of the Energy and Environmental Solutions reporting unit for potential impairment during the second quarter of fiscal 2012 in light of second quarter developments that included current industry trends, financial performance, weaker short-term outlooks, and other adverse operating conditions within the solar industry. The results of the first step of the impairment test indicated that goodwill of the Energy and Environmental Solutions reporting unit was not impaired at that time. During the third quarter of fiscal 2012, Applied noted no events that would significantly impact the results of the impairment test performed in the second quarter of fiscal 2012. However, during the fourth quarter of fiscal 2012, the solar industry faced increasing challenges of solar panel manufacturing overcapacity and weaker operating performance and outlook, leading to the deterioration of the solar equipment market and Applied's customers' financial condition, coupled with lower market valuations. Taking these factors into account, Applied reassessed its financial outlook of the Energy and Environmental Solutions reporting unit, and consequently reevaluated the recoverability of Energy and Environmental Solutions' goodwill. Accordingly, Applied performed the two-step impairment test and concluded that the Energy and Environmental Solutions reporting unit's carrying value exceeded its fair value and recorded a $421 million goodwill impairment charge in the fourth quarter of fiscal 2012.
In the fourth quarter of fiscal 2012, Applied also performed a qualitative assessment to test goodwill for the remaining reporting units for impairment and determined that it was more likely than not that each of the Silicon Systems Group, Applied Global Services, and Display reporting units' fair values exceeded its respective carrying values and that it was not necessary to perform the two-step goodwill impairment test for these reporting units.
The evaluation of goodwill for impairment requires the exercise of significant judgment. In the event of future changes in business conditions, Applied will be required to reassess and update its forecasts and estimates used in future impairment analyses. If the results of these analyses are lower than current estimates, a material impairment charge may result at that time.
For further details, see Note 9 of Notes to Consolidated Financial Statements.
41
Restructuring and Asset Impairments
Restructuring and asset impairment expenses for the past three fiscal years were as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions) | |||||||||||||||||||
Restructuring and asset impairments, net | $ | 168 | $ | (30 | ) | $ | 246 | $ | 198 | $ | (276 | ) | |||||||
On October 3, 2012, Applied announced a restructuring plan (the 2012 Global Restructuring Plan) to realign its global workforce and enhance its ability to invest for growth. Under this plan, Applied implemented a voluntary retirement program and other workforce reduction actions that are expected to affect approximately 900 to 1,300 positions, or 6 percent to 9 percent of its global workforce. The voluntary retirement program was available to certain U.S. employees who meet minimum age and length of service requirements, as well as other business-specific criteria. Under the 2012 Global Restructuring Plan, Applied also implemented other workforce reduction actions globally across multiple business segments and functions, the extent of which depended on the number of employees who participate in the voluntary retirement program and other considerations.
During fiscal 2012, Applied incurred severance and other employee-related costs of $106 million related to the 2012 Global Restructuring Plan, which was based on an estimated number of employees impacted by the workforce reduction. Incremental charges will coincide with the acceptances of the voluntary retirement program in the first quarter of fiscal 2013. Due to the nature of this plan, these costs are subject to subsequent adjustments due to multiple assumptions and estimates used, including with respect to: the number and job levels of employees who participate in the voluntary retirement program, the nature and extent of additional workforce actions required to complete this plan, and other factors.
On May 10, 2012, Applied announced a plan (the 2012 EES Restructuring Plan) to restructure its Energy and Environmental Solutions segment in light of challenging industry conditions affecting the solar photovoltaic and light-emitting diode (LED) equipment markets. As of October 28, 2012, as part of the 2012 EES Restructuring Plan, Applied was still in the process of relocating certain manufacturing, business operations and customer support functions of its precision wafering systems business and has ceased LED development activities. During fiscal 2012, Applied incurred restructuring and asset impairment charges of $48 million related to this plan.
Also in fiscal 2012, Applied incurred severance costs of $14 million associated with the integration of Varian.
Results for fiscal 2011 included favorable adjustments of $60 million related to restructuring program charges recorded in prior years, offset in part by asset impairment charges of $30 million primarily related to certain intangible assets.
Results for fiscal 2010 included restructuring charges of $129 million related to two restructuring programs announced in fiscal 2010, and asset impairment charges of $117 million primarily related to a plan to restructure the Energy and Environmental Solutions segment.
For further details, see Note 11 of Notes to Consolidated Financial Statements.
Gain on Sale of Facilities, net
In the first quarter of fiscal 2011, Applied received $39 million in proceeds from the sale of a property located in North America and incurred a loss of $1 million on the transaction. In the third quarter of fiscal 2011, Applied received $60 million in proceeds from the sale of another property located in North America and incurred a gain of $28 million on the transaction.
Impairments of Strategic Investments
Equity investments in privately-held companies are generally accounted for under the cost method of accounting and are periodically assessed for other-than-temporary impairment when an event or circumstance indicates that an other-than-temporary decline in value may have occurred. If Applied determines that an other-than-temporary impairment has occurred, the investment will be written down to its estimated fair value based on available information, such as pricing in recent rounds of financing, current cash positions, earnings and cash flow forecasts, recent operational performance and any other readily available market data. During fiscal 2012, 2011 and 2010, Applied determined that certain of its equity investments held in privately-held companies were other-than-temporarily impaired and, accordingly, recognized impairment charges of $17 million, $3 million and $13 million, respectively.
42
Interest and Other Expenses
Interest and other expenses for the past three fiscal years were as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions) | |||||||||||||||||||
Interest and other expense | $ | 95 | $ | 59 | $ | 21 | $ | 36 | $ | 38 | |||||||||
The increase in interest and other expense for fiscal 2012 from fiscal 2011 is mainly due to the full year of interest expense incurred on the senior unsecured notes issued in June 2011 to fund a portion of the consideration and certain costs associated with the acquisition of Varian. The increase in interest and other expense for fiscal 2011 from fiscal 2010 was primarily due to interest of $31 million payable on senior unsecured notes issued in fiscal 2011 and to fees of $8 million associated with a bridge loan facility that was entered into and terminated during fiscal 2011.
Interest and Other Income, net
Interest and other income, net for the past three fiscal years was as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions) | |||||||||||||||||||
Interest and other income, net | $ | 17 | $ | 42 | $ | 37 | $ | (25 | ) | $ | 5 | ||||||||
The decrease in interest and other income in fiscal 2012 from fiscal 2011 is due to lower cash and investment balances after completion of the acquisition of Varian, decreased realized gains on investment securities, and lower interest rates. The increase in interest and other income in fiscal 2011 from fiscal 2010 was primarily due to an increase in gains realized on sale of investment securities.
Income Taxes
Income tax expenses for the past three fiscal years were as follows:
Change | |||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||
(In millions, except percentages) | |||||||||||||||||||
Provision (benefit) for income taxes | $ | 207 | $ | 452 | $ | 449 | $ | (245 | ) | $ | 3 | ||||||||
Effective income tax rate | 65.5 | % | 19.0 | % | 32.4 | % | 46.5 points | (13.4) points | |||||||||||
The effective income tax rate for fiscal 2012 is significantly higher than the rate for fiscal 2011 due primarily to the recording of goodwill impairment charges in fiscal 2012, which are not deductible for tax purposes. In addition, the tax rate for fiscal 2011 benefited from a favorable U.S. Internal Revenue Service audit settlement. The tax rates for fiscal 2012 and 2011 both benefited from tax incentives offered in several jurisdictions and fiscal 2011 benefited from the December 2010 reinstatement of the U.S. R&D tax credit retroactive to its prior expiration in December 2009. The effective income tax rate for fiscal 2010 did not include the impact of the U.S. R&D tax credit from the time it expired in December 2009. Applied’s effective income tax rate depends on various factors, such as tax legislation and the geographic composition of Applied’s pre-tax income.
43
Segment Information
Applied reports financial results in four segments: Silicon Systems Group, Applied Global Services, Display, and Energy and Environmental Solutions. A description of the products and services, as well as financial data, for each reportable segment can be found in Note 16 of Notes to Consolidated Financial Statements. Applied does not allocate to its reportable segments certain operating expenses that it manages separately at the corporate level. These unallocated costs include costs for share-based compensation; certain management, finance, legal, human resources, and RD&E functions provided at the corporate level; and unabsorbed information technology and occupancy. In addition, Applied does not allocate to its reportable segments restructuring and asset impairment charges and any associated adjustments related to restructuring actions, unless these charges or adjustments pertain to a specific reportable segment.
The results for each reportable segment are discussed below.
Silicon Systems Group Segment
The Silicon Systems Group segment includes semiconductor capital equipment for deposition, etch, ion implantation, rapid thermal processing, chemical mechanical planarization, metrology and inspection, and wafer packaging. Development efforts are focused on solving customers' key technical challenges in transistor, patterning, interconnect and packaging performance as devices scale to advanced technology nodes. The mobility trend remains the biggest influence on industry spending, as it drives device manufacturers to deliver high-performance, low-power processors and affordable solid-state storage in a small form factor.
Certain significant measures for the past three fiscal years were as follows:
Change | |||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||||||
(In millions, except percentages and ratios) | |||||||||||||||||||||||
New orders | $ | 5,294 | $ | 5,489 | $ | 5,759 | $ | (195 | ) | (4)% | $ | (270 | ) | (5)% | |||||||||
Net sales | 5,536 | 5,415 | 5,304 | 121 | 2% | 111 | 2% | ||||||||||||||||
Book to bill ratio | 1.0 | 1.0 | 1.1 | ||||||||||||||||||||
Operating income | 1,243 | 1,764 | 1,892 | (521 | ) | (30)% | (128 | ) | (7)% | ||||||||||||||
Operating margin | 22.5 | % | 32.6 | % | 35.7 | % | (10.1) points | (3.1) points | |||||||||||||||
Non-GAAP Results | |||||||||||||||||||||||
Operating income | $ | 1,537 | $ | 1,779 | $ | 1,919 | (242 | ) | (14)% | (140 | ) | (7)% | |||||||||||
Operating margin | 27.8 | % | 32.9 | % | 36.2 | % | (5.1) points | (3.3) points | |||||||||||||||
Reconciliations of non-GAAP measures are presented under "Non-GAAP Results" below.
New orders for the Silicon Systems Group by end use application for the past three fiscal years were as follows:
2012 | 2011 | 2010 | ||||||
Foundry | 62 | % | 47 | % | 41 | % | ||
Memory | 22 | % | 28 | % | 43 | % | ||
Logic and other | 16 | % | 25 | % | 16 | % | ||
100 | % | 100 | % | 100 | % | |||
44
The following region accounted for at least 30 percent of total net sales for the Silicon Systems Group segment for one or more of the past three fiscal years:
Change | |||||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||||||||
(In millions, except percentages) | |||||||||||||||||||||||||
Taiwan | $ | 1,744 | $ | 1,309 | $ | 1,976 | $ | 435 | 33 | % | $ | (667 | ) | (34 | )% | ||||||||||
Customers in Taiwan accounted for 32 percent, 24 percent and 37 percent of the total net sales of the Silicon Systems Group in fiscal 2012, 2011 and 2010, respectively. Customers in Korea and United States together contributed 49 percent, 43 percent and 36 percent of the total net sales for this segment in fiscal 2012, 2011 and 2010, respectively.
Fiscal 2012 financial results reflected continued uncertain global economic conditions that led to decreased demand for semiconductor manufacturing equipment compared to fiscal 2011, particularly in the second half of fiscal 2012. In the fourth quarter of fiscal 2012, new orders were $741 million, a decrease of 36 percent compared to the prior quarter. The decrease in new orders in fiscal 2012 from the prior year was primarily due to reduced demand from memory and logic customers, partially offset by the addition of Varian. Net sales increased slightly in fiscal 2012 from the prior year due to the addition of net sales attributable to Varian, partially offset by decreased investment from memory and logic customers. Three customers accounted for 60 percent of net sales in this segment in fiscal 2012. Approximately 53 percent of net sales in the fourth quarter of fiscal 2012 were for orders received and shipped within the quarter, which remained flat from the third quarter of fiscal 2012. Operating income and non-GAAP operating income for fiscal 2012 decreased compared to fiscal 2011, due to changes in customer and product mix with the inclusion of Varian, costs associated with Varian operations, and additional inventory charges. In fiscal 2012, inventory charges of approximately $134 million were recorded for the segment. Operating income for fiscal 2012 also included Varian acquisition-related costs of $290 million and severance charges of $4 million associated with the integration of Varian.
Fiscal 2011 financial results reflected decreased demand for semiconductor manufacturing equipment compared to fiscal 2010, particularly in the second half of fiscal 2011, due primarily to uncertain global economic conditions. In the fourth quarter of fiscal 2011, new orders were $925 million, a decrease of 25 percent compared to the prior quarter. For fiscal 2011, new orders decreased compared to fiscal 2010, primarily due to reduced demand from memory customers. Net sales increased for fiscal 2011 compared to fiscal 2010, primarily due to increased investment by logic customers. Three customers accounted for 52 percent of net sales in this segment in fiscal 2011. Approximately 45 percent of net sales in the fourth quarter of fiscal 2011 were for orders received and shipped within the quarter, down from 49 percent in the third quarter of fiscal 2011. Operating income and non-GAAP operating income in fiscal 2011 decreased from the prior year. The decrease was due in part to a settlement agreement between Applied and Samsung Electronics Co., Ltd (Samsung) that provided for volume-based rebates and other incentives to Samsung. The decrease was also due to operating expenses incurred as a result of headcount increases during fiscal 2011.
Applied Global Services Segment
The Applied Global Services segment encompasses spares, upgrades, services, remanufactured earlier generation equipment and factory automation software for semiconductor, display and solar manufacturing. These products are designed to improve customers' operating efficiency, optimize their operating costs, and lessen the environmental impact of their factories. Customer demand for products and services is fulfilled through a global distribution system with trained service engineers located in close proximity to customer sites.
Since the first quarter of fiscal 2011, Applied also accounts for thin film solar products, including the fully-integrated-SunFab thin film solar production line, under the Applied Global Services segment. As part of a restructuring of the Energy and Environmental Solutions segment in fiscal 2010, Applied discontinued sales of SunFab lines to new customers but continues to support existing SunFab customers with services, upgrades and capacity increases through its Applied Global Services segment as these products are considered to have reached a particular stage in the product lifecycle.
45
Certain significant measures for the past three fiscal years were as follows:
Change | ||||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | ||||||||||||||||||||
(In millions, except percentages and ratios) | ||||||||||||||||||||||||
New orders | $ | 2,274 | $ | 2,333 | $ | 2,183 | $ | (59 | ) | (3)% | $ | 150 | 7 | % | ||||||||||
Net sales | 2,285 | 2,413 | 1,865 | (128 | ) | (5)% | 548 | 29 | % | |||||||||||||||
Book to bill ratio | 1.0 | 1.0 | 1.2 | |||||||||||||||||||||
Operating income | 502 | 482 | 337 | 20 | 4% | 145 | 43 | % | ||||||||||||||||
Operating margin | 22.0 | % | 20.0 | % | 18.1 | % | 2.0 points | 1.9 points | ||||||||||||||||
Non-GAAP Results | ||||||||||||||||||||||||
Operating income | 530 | 513 | 348 | 17 | 3% | 165 | 47 | % | ||||||||||||||||
Operating margin | 23.2 | % | 21.3 | % | 18.7 | % | 1.9 points | 2.6 points | ||||||||||||||||
Reconciliations of non-GAAP measures are presented under "Non-GAAP Results" below.
There were no individual regions that accounted for at least 30 percent of total net sales for the Applied Global Services segment for any of the past three fiscal years.
For fiscal 2012, new orders and net sales decreased compared to fiscal 2011 due primarily to lower sales of 200mm systems, offset in part by the addition of Varian. In the fourth quarter of fiscal 2012, new orders were $576 million, an increase of 8 percent compared to the third quarter of fiscal 2012, reflecting seasonal effect of annual contract renewals. Net sales in the fourth quarter of fiscal 2012 were $621 million and included $85 million in sales for a single thin film solar production line. Operating income and non-GAAP operating income increased in fiscal 2012 compared to fiscal 2011 primarily due to the sale of the thin film solar line, for which inventory had been fully reserved prior to fiscal 2012 partially offset by inventory charges of approximately $57 million. Operating income also included restructuring and asset impairment charges of $15 million associated with the 2012 EES Restructuring Plan and the integration of Varian.
Fiscal 2011 financial results reflected increased demand for services over fiscal 2010. In the fourth quarter of fiscal 2011, new orders were $564 million, a decrease of 8 percent compared to the prior quarter. For fiscal 2011, new orders increased compared to fiscal 2010 due primarily to higher demand for spare parts and refurbished equipment. Net sales increased for fiscal 2011, a record for the segment, compared to fiscal 2010. The increase in net sales was primarily due to higher sales in spare parts and refurbished equipment and included $71 million in sales for two thin film solar production lines. Operating income and non-GAAP operating income increased for fiscal 2011 compared to fiscal 2010, primarily reflecting increased sales of spare parts and refurbished equipment.
46
Display Segment
The Display segment encompasses products for manufacturing LCDs, OLEDs, and other display technologies for TVs, personal computers (PCs), tablets, smart phones, and other consumer-oriented devices. The segment is focused on expanding market share by differentiation with larger-scale manufacturing equipment for TVs, entry into new markets such as the LTPS, metal oxide, and touch panel sectors, and development of products that enable cost reductions through productivity and uniformity. Historically, display industry growth has depended primarily on consumer demand for increasingly larger and more advanced LCD TVs, for which demand has slowed significantly. More recently, mobility-related markets have represented the majority of this segment's orders and net sales.
Certain significant measures for the past three fiscal years were as follows:
Change | |||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||||||
(In millions, except percentages and ratios) | |||||||||||||||||||||||
New orders | $ | 274 | $ | 636 | $ | 799 | $ | (362 | ) | (57)% | $ | (163 | ) | (20)% | |||||||||
Net sales | 473 | 699 | 899 | (226 | ) | (32)% | (200 | ) | (22)% | ||||||||||||||
Book to bill ratio | 0.6 | 0.9 | 0.9 | ||||||||||||||||||||
Operating income | 25 | 147 | 267 | (122 | ) | (83)% | (120 | ) | (45)% | ||||||||||||||
Operating margin | 5.3 | % | 21.0 | % | 29.7 | % | (15.7) points | (8.7) points | |||||||||||||||
Non-GAAP Results | |||||||||||||||||||||||
Operating income | $ | 32 | $ | 154 | $ | 274 | (122 | ) | (79)% | (120 | ) | (44)% | |||||||||||
Operating margin | 6.8 | % | 22.0 | % | 30.5 | % | (15.2) points | (8.5) points | |||||||||||||||
Reconciliations of non-GAAP measures are presented under "Non-GAAP Results" below.
The following regions accounted for at least 30 percent of total net sales for the Display segment for one or more of the past three fiscal years:
Change | |||||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||||||||
(In millions, except percentages) | |||||||||||||||||||||||||
China | $ | 133 | $ | 330 | $ | 162 | $ | (197 | ) | (60 | )% | $ | 168 | 104 | % | ||||||||||
Taiwan | $ | 179 | $ | 216 | $ | 311 | $ | (37 | ) | (17 | )% | $ | (95 | ) | (31 | )% | |||||||||
Korea | $ | 88 | $ | 111 | $ | 334 | $ | (23 | ) | (21 | )% | $ | (223 | ) | (67 | )% | |||||||||
In fiscal 2012, customers in China accounted for 28 percent of total net sales for the Display segment compared to 47 percent in fiscal 2011, and 18 percent in fiscal 2010. Customers in Taiwan accounted for 38 percent of total net sales for the segment in fiscal 2012 compared to 31 percent in fiscal 2011, and 35 percent in fiscal 2010. Customers in Korea accounted for 19 percent of total net sales for the Display segment in fiscal 2012 compared to 16 percent in fiscal 2011, and 37 percent in fiscal 2010.
Fiscal 2012 operating results reflected a continued overcapacity in the large substrate LCD TV equipment industry that resulted in decreased new orders and net sales in fiscal 2012. The downturn in the LCD TV equipment industry was partially offset by increased demand for advanced mobile display equipment. In the fourth quarter of fiscal 2012, new orders remained at low levels of $83 million, but increased compared to $67 million in the prior quarter. Four customers accounted for 60 percent of net sales for the Display segment in fiscal 2012.
Fiscal 2011 operating results reflected a downturn in demand for equipment to manufacture LCD TV products that resulted in an extremely low level of orders in the fourth quarter of fiscal 2011. In the fourth quarter of fiscal 2011, new orders were $20 million, a decrease of 91 percent compared to the prior quarter. For fiscal 2011, new orders decreased compared to fiscal 2010. The decrease in new orders reflected customers’ decisions to delay investment in new LCD TV capacity, which was partially offset by increased demand for LTPS and touch panel systems, although this demand also softened in the fourth quarter of fiscal 2011 as an initial build-out of manufacturing capacity was absorbed. Net sales decreased for fiscal 2011 compared to fiscal 2010. The decrease in net sales reflected a decline in spending for LCD TV equipment, as customers delayed their investments, including plans for manufacturing in China, partially offset by increased demand for equipment to manufacture new mobile devices like smart phones and tablets. Operating income decreased for fiscal 2011 compared to fiscal 2010 reflecting an unfavorable product mix. Three customers accounted for 54 percent of net sales for the Display segment in fiscal 2011. The decrease in operating margin and non-GAAP operating margin in fiscal 2011 compared to fiscal 2010 was due to changes in product mix.
47
Energy and Environmental Solutions Segment
The Energy and Environmental Solutions segment includes products for fabricating c-Si solar PVs, high throughput roll-to-roll coating systems for flexible electronics, and web products. This business is focused on delivering solutions to generate and conserve energy, with an emphasis on lowering the cost to produce solar power by providing equipment to enhance manufacturing scale and efficiency. While end-demand for solar PVs has been robust over the last several years, investment levels in capital equipment have experienced considerable volatility. Current global solar PV production capacity exceeds anticipated near-term demand, which has caused solar PV manufacturers to significantly reduce or delay investments in manufacturing capacity and new technology, or to cease operations.
Until the first quarter of fiscal 2011, the Energy and Environmental Solutions segment included the fully-integrated SunFab production line for manufacturing thin film solar panels. During the third quarter of fiscal 2010, Applied announced a plan to restructure its Energy and Environmental Solutions segment in response to adverse market conditions for thin film solar. As a result, Applied discontinued sales of SunFab lines to new customers, but continues to support existing SunFab line customers with services, upgrades and capacity increases through its Applied Global Services segment.
Certain significant measures for the past three fiscal years were as follows:
Change | |||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||||||
(In millions, except percentages and ratios) | |||||||||||||||||||||||
New orders | $ | 195 | $ | 1,684 | $ | 1,508 | $ | (1,489 | ) | (88)% | $ | 176 | 12% | ||||||||||
Net sales | 425 | 1,990 | 1,481 | (1,565 | ) | (79)% | 509 | 34% | |||||||||||||||
Book to bill ratio | 0.5 | 0.8 | 1.0 | ||||||||||||||||||||
Operating income (loss) | (668 | ) | 453 | (466 | ) | (1,121 | ) | (247)% | 919 | (197)% | |||||||||||||
Operating margin | (157.2 | )% | 22.8 | % | (31.5 | )% | (180.0) points | 54.3 points | |||||||||||||||
Non-GAAP Results | |||||||||||||||||||||||
Operating income (loss) | (184 | ) | 444 | (267 | ) | (628 | ) | (141)% | 711 | (266)% | |||||||||||||
Operating margin | (43.3 | )% | 22.3 | % | (18.0 | )% | (65.6) points | 40.3 points | |||||||||||||||
Reconciliations of non-GAAP measures are presented under "Non-GAAP Results" below.
The following region accounted for at least 30 percent of total net sales for the Energy and Environmental Solutions segment for one or more of the past three fiscal years:
Change | |||||||||||||||||||||||||
2012 | 2011 | 2010 | 2012 over 2011 | 2011 over 2010 | |||||||||||||||||||||
(In millions, except percentages) | |||||||||||||||||||||||||
China | $ | 210 | $ | 1,584 | $ | 935 | $ | (1,374 | ) | (87 | )% | $ | 649 | 69 | % | ||||||||||
In fiscal 2012, customers in China accounted for 49 percent of total net sales for the Energy and Environmental Solutions segment compared to 80 percent in fiscal 2011, and 63 percent in fiscal 2010.
Fiscal 2012 financial results reflected continued excess manufacturing capacity in the solar industry, the impairment of a portion of the segment's goodwill and a restructuring program. In the fourth quarter of fiscal 2012, new orders were $65 million, an increase of 86 percent compared to the prior quarter's low level of $35 million in new orders. During fiscal 2012, 65 percent of the segment's net sales were from products shipped in earlier periods. For fiscal 2012, new orders and net sales decreased compared to fiscal 2011 reflecting significantly decreased demand for c-Si equipment. The Energy and Environmental Solutions segment reported operating loss of $668 million for fiscal 2012 compared to an operating income of $453 million for fiscal 2011 due primarily to lower sales, additional inventory charges, impairment and restructuring charges during the year. Inventory charges of $96 million were recorded in fiscal 2012.
48
On May 10, 2012, Applied announced a plan to restructure the Energy and Environmental Solutions segment in light of challenging industry conditions affecting the solar photovoltaic and LED equipment markets. During fiscal 2012, Applied incurred severance and asset impairment charges totaling $48 million associated with this plan, of which $38 million was included in the Energy and Environmental Solutions segment. Of the total inventory charges recorded in fiscal 2012, $13 million was associated with the restructuring. Details on restructuring and asset impairment charges are included in Note 11 of the Notes to the Consolidated Financial Statements.
During the fourth quarter of fiscal 2012, Applied performed the two-step impairment test and concluded that the Energy and Environmental Solutions reporting unit's carrying value exceeded its fair value and recorded $421 million of goodwill impairment charge in the fourth quarter of fiscal 2012. See discussion in the Impairment of Goodwill section above and Note 9 of Notes to Consolidated Financial Statements for further details.
Fiscal 2011 financial results reflected increased demand for c-Si products in the first half of the year, followed by a steep decline in the second half of fiscal 2011 due to excess manufacturing capacity and customers’ decreased access to capital. In the fourth quarter of fiscal 2011, new orders were $86 million, a decrease of 73 percent compared to the prior quarter. For fiscal 2011, new orders increased compared to fiscal 2010. The increase in new orders for fiscal 2011 reflected significantly increased demand for c-Si equipment, particularly wafering and metallization products. The increased demand was partially driven by government incentives for solar. Net sales increased for fiscal 2011 compared to fiscal 2010. The increase in net sales for fiscal 2011 primarily reflected higher sales to c-Si customers. Net sales in fiscal 2010 included $539 million from sales of SunFab thin film lines. There were no sales of SunFab thin film lines recognized in the Energy and Environmental Solutions segment in fiscal 2011. In fiscal 2011, customers in China accounted for an increased proportion of the Energy and Environmental Solutions segment’s results compared to prior years, including 78 percent of new orders. The Energy and Environmental Solutions segment reported operating income of $453 million for fiscal 2011 compared to an operating loss of $466 million for fiscal 2010. Operating loss for fiscal 2010 included charges totaling $486 million associated with the Energy and Environmental Solutions restructuring plan announced in July 2010, consisting of inventory-related charges of $330 million related to SunFab thin film solar equipment, asset impairment charges of $108 million, employee severance charges of $45 million, and other costs of $3 million.The increase in operating income for fiscal 2011 was also attributable to significantly higher net sales of c-Si equipment and included favorable adjustments of $36 million related to the restructuring program announced in the third quarter of fiscal 2010. The increase in operating margin for fiscal 2011 was due to higher manufacturing volume for c-Si equipment.
Business Combinations
On November 10, 2011, Applied completed the acquisition of Varian, a public company manufacturer of semiconductor processing equipment and the leading supplier of ion implantation equipment used by chip makers globally, for an aggregate purchase price of $4.2 billion in cash, net of cash acquired and assumed earned equity awards of $27 million, pursuant to an Agreement and Plan of Merger (the Merger Agreement) dated as of May 3, 2011. Applied's primary reasons for this acquisition were to complement existing product offerings and to provide opportunities for future growth. Beginning in the first quarter of fiscal 2012, the acquired business is included in results for the Silicon Systems Group and Applied Global Services segments.
Varian designs, markets, manufactures and services ion implantation systems. These systems are primarily used in the manufacture of transistors, which are a basic building block of ICs or microchips. Ion implantation systems create a beam of electrically charged particles called ions, which are implanted into transistor structures at precise locations and depths, changing the electrical properties of the semiconductor device. These implantation systems may also be used in other areas of IC manufacture for modifying the material properties of the semiconductor devices, as well as in manufacturing crystalline-silicon solar cells and LEDs.
Applied funded the transaction with a combination of existing cash balances and debt. On June 8, 2011, Applied issued senior unsecured notes (the Notes) in the aggregate principal amount of $1.75 billion and used the net proceeds of the Notes to fund a portion of the consideration and certain costs associated with the acquisition. The indenture governing the Notes includes certain covenants with which Applied was in compliance at October 28, 2012. See Note 10 of Notes to Consolidated Financial Statements for additional discussion of long-term debt.
On December 21, 2009, Applied acquired Semitool, Inc., a public company based in the state of Montana, for a purchase price of $323 million in cash, net of cash acquired, pursuant to a tender offer and subsequent short-form merger. The acquired business is a leading supplier of electrochemical plating and wafer surface preparation equipment used by semiconductor packaging and manufacturing companies globally. Applied’s primary reasons for this acquisition were to complement its existing product offerings and to provide opportunities for future growth. The acquired business is included in results for the Silicon Systems Group segment.
49
Semitool is a leading provider of wet chemical processing equipment, targeting wafer surface preparation and ECD plating applications for all areas of wafer fabrication, including device packaging. Semitool’s solutions address critical applications within the semiconductor manufacturing process, and enable its customers to manufacture more advanced semiconductor devices that feature higher levels of performance.
In November 2009, Applied acquired substantially all the assets, including the intellectual property, of Advent Solar, a developer of advanced technology for c-Si solar photovoltaic cells and modules (PVs), for a purchase price of $14 million. This acquisition complemented Applied’s portfolio of solar PV technologies and enhanced Applied’s opportunities in the c-Si equipment market. The acquisition is included in results for the Energy and Environmental Solutions segment.
For further details, see Note 8 of Notes to Consolidated Financial Statements.
Recent Accounting Pronouncements
In June 2011, the Financial Accounting Standards Board (FASB) issued authoritative guidance on the presentation of comprehensive income to require an entity to present the total of comprehensive income, the components of net income, and the components of other comprehensive income either in a single continuous statement of comprehensive income or in two separate but consecutive statements. The authoritative guidance also required presentation of adjustments for items that are reclassified from other comprehensive income in the statement where the components of net income and the components of other compressive income are presented, which was indefinitely deferred by the FASB in December 2011. Applied early adopted this authoritative guidance in fiscal 2012. The implementation of this authoritative guidance did not have an impact on Applied’s financial position or results of operations.
In July 2012, the FASB amended its existing guidance for goodwill and other intangible assets. This authoritative guidance gives companies the option to first perform a qualitative assessment to determine whether it is more likely than not that an indefinite-lived intangible asset is impaired. To perform a qualitative assessment, a company must identify and evaluate changes in economic, industry and company-specific events and circumstances that could affect the significant inputs used to determine the fair value of an indefinite-lived intangible asset. If a company determines that it is more likely than not that the fair value of such an asset exceeds its carrying amount, it would not need to calculate the fair value of the asset in that year. This authoritative guidance becomes effective for Applied in the first quarter of fiscal 2013, with early adoption permitted. The implementation of this authoritative guidance is not expected to have a material impact on Applied's financial position or results of operations.
Financial Condition, Liquidity and Capital Resources
Applied’s cash, cash equivalents and investments decreased to $3.0 billion at October 28, 2012 from $7.2 billion at October 30, 2011. The decrease in cash was the result of the completion of the acquisition of Varian during the first quarter of fiscal 2012, and cash paid for stock repurchases and dividends, which were partially offset by cash generated from operations. Cash, cash equivalents and investments at October 30, 2011 included net proceeds from the issuance of $1.75 billion of senior secured notes that were issued to assist in funding the Varian acquisition.
Cash, cash equivalents and investments consist of the following:
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Cash and cash equivalents | $ | 1,392 | $ | 5,960 | |||
Short-term investments | 545 | 283 | |||||
Long-term investments | 1,055 | 931 | |||||
Total cash, cash-equivalents and investments | $ | 2,992 | $ | 7,174 | |||
50
A summary of cash provided by (used in) operating, investing, and financing activities is as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Cash provided by operating activities | $ | 1,851 | $ | 2,429 | $ | 1,723 | |||||
Cash provided by (used in) investing activities | $ | (4,660 | ) | $ | 707 | $ | (862 | ) | |||
Cash provided by (used in) financing activities | $ | (1,754 | ) | $ | 960 | $ | (576 | ) | |||
The primary sources of cash from operating activities for fiscal 2012 were net income, as adjusted to exclude the effect of non-cash charges including depreciation, amortization, share-based compensation, impairment of goodwill, restructuring and asset impairments, deferred income taxes and changes in components of working capital. Applied did not utilize programs to discount letters of credit issued by customers in fiscal 2012. Applied utilized programs to discount letters of credit issued by customers of $211 million in fiscal 2011, and $230 million in fiscal 2010. Discounting of letters of credit depends on many factors, including the willingness of financial institutions to discount the letters of credit and the cost of such arrangements. Applied factored accounts receivable and discounted promissory notes of $93 million in fiscal 2012, $80 million in fiscal 2011, and $157 million in fiscal 2010. Applied’s working capital was $2.8 billion at October 28, 2012 and $7.6 billion at October 30, 2011. During fiscal 2011, Applied received a U.S. federal income tax refund of $276 million including interest. During fiscal 2010, Applied received a U.S. federal income tax refund of approximately $130 million for the carryback of Applied’s net operating loss from fiscal 2009 to fiscal 2005.
Days sales, inventory and payable outstanding at the end of each of the periods indicated are:
2012 | 2011 | 2010 | |||
Days sales outstanding | 67 | 62 | 58 | ||
Days inventory outstanding | 109 | 116 | 84 | ||
Days payable outstanding | 34 | 33 | 36 | ||
Days sales outstanding varies due to the timing of shipments and the payment terms. The improving trend for days inventory outstanding from fiscal 2011 to fiscal 2012 represents increased cash flows from changes in inventory during the year. The change in inventory resulted from lower net sales and consequently, lower purchases, for fiscal 2012 compared to the prior year. The cash flow increase from the improved days inventory outstanding reflecting lower business volume was partially offset by decreases in accounts payable, accrued expenses, customer deposits and deferred revenues. Accounts receivable, inventories, accounts payable and accrued expenses provided an aggregate of $737 million in cash flows in fiscal 2012 and used an aggregate amount of $92 million in fiscal 2011 and $153 million in fiscal 2010.
Applied used $4.7 billion of cash for investing activities in fiscal 2012 and $862 million in fiscal 2010. Applied generated $707 million of cash from investing activities in fiscal 2011. Capital expenditures were $162 million in fiscal 2012, $209 million in fiscal 2011, and $169 million in fiscal 2010. Capital expenditures in fiscal 2012 were primarily for various information technology expenditures in North America, including the addition of Varian, and expansion of semiconductor assembly centers in Singapore. Capital expenditures in fiscal 2011 were offset by $99 million in proceeds received from the sale of two properties located in North America and $31 million in proceeds received from the completed divestiture of certain assets held for sale. Capital expenditures for fiscal 2010 were primarily for the implementation of an enterprise resource planning software system and the construction of a solar R&D/demonstration center in Xi’an, China. Capital expenditures for fiscal 2010 also included investment to construct a facility in Singapore. Purchases of investments, net of proceeds from sales and maturities of investments, totaled $308 million for fiscal 2012 and $370 million in fiscal 2010, while proceeds from sales and maturities of investments, net of purchases of investments, totaled $786 million for fiscal 2011.
Investing activities also included investments in technology and acquisitions of companies to allow Applied to access new market opportunities or emerging technologies. In fiscal 2012, Applied acquired Varian for $4.2 billion, net of cash acquired. In fiscal 2010, Applied acquired Semitool, a public company based in the state of Montana, for $323 million, net of cash acquired. See Note 8 of Notes to Consolidated Financial Statements for additional details on business acquisitions.
51
Applied used cash for financing activities in the amount of $1.8 billion for fiscal 2012. Applied generated $960 million of cash from financing activities in fiscal 2011, consisting primarily of net proceeds received from the issuance of senior unsecured notes of $1.75 billion. Applied used cash for financing activities in the amount of $576 million for fiscal 2010. Financing activities for these periods included payment of cash dividends to stockholders and issuances and repurchases of common stock. Cash used to repurchase shares totaled $1.4 billion in fiscal 2012, $468 million in fiscal 2011, and $350 million in fiscal 2010. In March 2012, Applied’s Board of Directors approved a new stock repurchase program authorizing up to $3.0 billion in repurchases over the next three years ending in March 2015. Proceeds from stock issuances related to equity compensation awards were $97 million in fiscal 2012, $95 million in fiscal 2011, and $129 million in fiscal 2010.
During fiscal 2012, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.09 per share each and one quarterly cash dividend in the amount of $0.08 per share. During fiscal 2011, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.08 per share each and one quarterly cash dividend in the amount of $0.07 per share. During fiscal 2010, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.07 per share each and one quarterly cash dividend in the amount of $0.06. Cash paid in dividends during fiscal 2012, 2011 and 2010 amounted to $434 million, $397 million and $349 million, respectively. Applied currently anticipates that cash dividends will continue to be paid on a quarterly basis, although the declaration of any future cash dividend is at the discretion of the Board of Directors and will depend on Applied’s financial condition, results of operations, capital requirements, business conditions and other factors, as well as a determination by the Board of Directors that cash dividends are in the best interests of Applied’s stockholders.
Applied has credit facilities for unsecured borrowings in various currencies of up to $1.6 billion, of which $1.5 billion is comprised of a committed revolving credit agreement with a group of banks that was extended by one year in May 2012 and is scheduled to expire in May 2016. This agreement provides for borrowings in United States dollars at interest rates keyed to one of the two rates selected by Applied for each advance and includes financial and other covenants with which Applied was in compliance at October 28, 2012. Remaining credit facilities in the amount of approximately $100 million are with Japanese banks. Applied’s ability to borrow under these facilities is subject to bank approval at the time of the borrowing request, and any advances will be at rates indexed to the banks’ prime reference rate denominated in Japanese yen. No amounts were outstanding under any of these facilities at both October 28, 2012 and October 30, 2011 and Applied has not utilized these credit facilities.
In fiscal 2011, Applied established a short-term commercial paper program of up to $1.5 billion. At October 28, 2012 and October 30, 2011, Applied did not have any commercial paper outstanding.
In June 2011, Applied issued senior unsecured notes (the Notes) in the aggregate principal amount of $1.75 billion. The following table summarizes the Notes issued in June 2011:
Due Date | Principal Amount | Effective Interest Rate | Interest Payment Dates | ||||
(In millions) | |||||||
2.650% Senior Notes Due 2016 | $ | 400 | 2.666% | June 15, December 15 | |||
4.300% Senior Notes Due 2021 | 750 | 4.326% | June 15, December 15 | ||||
5.850% Senior Notes Due 2041 | 600 | 5.879% | June 15, December 15 | ||||
$ | 1,750 | ||||||
The indenture governing the Notes includes certain covenants with which Applied was in compliance at October 28, 2012. See Note 10 of Notes to Consolidated Financial Statements for additional discussion of long-term debt.
Applied’s investment portfolio consists principally of investment grade money market mutual funds, U.S. Treasury and agency securities, municipal bonds, corporate bonds and mortgage-backed and asset-backed securities, as well as equity securities. Applied regularly monitors the credit risk in its investment portfolio and takes appropriate measures, which may include the sale of certain securities, to manage such risks prudently in accordance with its investment policies.
During fiscal 2012, Applied recorded a bad debt provision of $14 million as a result of certain customers' financial condition. While Applied believes that its allowance for doubtful accounts at October 28, 2012 is adequate, it will continue to closely monitor customer liquidity and economic conditions.
52
As of October 28, 2012, approximately $800 million of cash, cash equivalents, and marketable securities held by foreign subsidiaries may be subject to U.S. taxes if repatriated for U.S. operations. Of this amount, Applied intends to permanently reinvest approximately $450 million of these funds outside of the U.S. and does not plan to repatriate these funds. For the remaining cash, cash equivalents and marketable securities held by foreign subsidiaries, U.S. taxes have been provided for in the financial statements.
Although cash requirements will fluctuate based on the timing and extent of factors such as those discussed above, Applied’s management believes that cash generated from operations, together with the liquidity provided by existing cash balances and borrowing capability, will be sufficient to satisfy Applied’s liquidity requirements for the next 12 months. For further details regarding Applied’s operating, investing and financing activities, see the Consolidated Statements of Cash Flows in this report.
For details on standby letters of credit and other agreements with banks, see Off-Balance Sheet Arrangements below.
Off-Balance Sheet Arrangements
In the ordinary course of business, Applied provides standby letters of credit or other guarantee instruments to third parties as required for certain transactions initiated by either Applied or its subsidiaries. As of October 28, 2012, the maximum potential amount of future payments that Applied could be required to make under these guarantee agreements was approximately $42 million. Applied has not recorded any liability in connection with these guarantee agreements beyond that required to appropriately account for the underlying transaction being guaranteed. Applied does not believe, based on historical experience and information currently available, that it is probable that any amounts will be required to be paid under these guarantee agreements.
Applied also has agreements with various banks to facilitate subsidiary banking operations worldwide, including overdraft arrangements, issuance of bank guarantees, and letters of credit. As of October 28, 2012, Applied Materials Inc. has provided parent guarantees to banks for approximately $102 million to cover these arrangements.
Applied also has operating leases for various facilities. Total rent expense was $38 million for fiscal 2012, $44 million for fiscal 2011, and $44 million for fiscal 2010.
Contractual Obligations
The following table summarizes Applied’s contractual obligations as of October 28, 2012:
Payments Due by Period | |||||||||||||||||||
Contractual Obligations | Total | Less Than 1 Year | 1-3 Years | 3-5 Years | More Than 5 Years | ||||||||||||||
(In millions) | |||||||||||||||||||
Long-term debt obligations | $ | 1,950 | $ | — | $ | — | $ | 600 | $ | 1,350 | |||||||||
Interest expense associated with long-term debt obligations | 1,421 | 92 | 184 | 174 | 971 | ||||||||||||||
Operating lease obligations | 89 | 31 | 32 | 18 | 8 | ||||||||||||||
Purchase obligations* | 1,096 | 1,054 | 42 | — | — | ||||||||||||||
Other long-term liabilities | 315 | — | 88 | 38 | 189 | ||||||||||||||
$ | 4,871 | $ | 1,177 | $ | 346 | $ | 830 | $ | 2,518 | ||||||||||
______________________
* | Represents Applied’s agreements to purchase goods and services consisting of Applied’s (a) outstanding purchase orders for goods and services; and (b) contractual requirements to make specified minimum payments even if Applied does not take delivery of the contracted goods. |
In addition to the contractual obligations disclosed above, Applied has certain tax obligations. Gross interest and penalties and unrecognized tax benefits that are not expected to result in payment or receipt of cash within one year have been reported as non-current liabilities on the Consolidated Balance Sheet. As of October 28, 2012, the gross liability for unrecognized tax benefits was $174 million, exclusive of interest and penalties. Increases or decreases to interest and penalties on uncertain tax positions are included in provision for income taxes in the Consolidated Statement of Operations. Interest and penalties accrued related to uncertain tax positions were $7 million as of October 28, 2012 and $1 million as of October 30, 2011, which were classified as a long-term liability in the Consolidated Balance Sheets. At this time, Applied is unable to make a reasonably reliable estimate of the timing of payments in individual years due to uncertainties in the timing of tax audit outcomes and, accordingly, such amounts are not included in the above contractual obligation table.
53
Critical Accounting Policies and Estimates
The preparation of consolidated financial statements and related disclosures in conformity with accounting principles generally accepted in the United States of America requires management to make judgments, assumptions and estimates that affect the amounts reported. Note 1 of Notes to Consolidated Financial Statements describes the significant accounting policies used in the preparation of the consolidated financial statements. Certain of these significant accounting policies are considered to be critical accounting policies.
A critical accounting policy is defined as one that is both material to the presentation of Applied’s consolidated financial statements and that requires management to make difficult, subjective or complex judgments that could have a material effect on Applied’s financial condition or results of operations. Specifically, these policies have the following attributes: (1) Applied is required to make assumptions about matters that are highly uncertain at the time of the estimate; and (2) different estimates Applied could reasonably have used, or changes in the estimate that are reasonably likely to occur, would have a material effect on Applied’s financial condition or results of operations.
Estimates and assumptions about future events and their effects cannot be determined with certainty. Applied bases its estimates on historical experience and on various other assumptions believed to be applicable and reasonable under the circumstances. These estimates may change as new events occur, as additional information is obtained and as Applied’s operating environment changes. These changes have historically been minor and have been included in the consolidated financial statements as soon as they became known. In addition, management is periodically faced with uncertainties, the outcomes of which are not within its control and will not be known for prolonged periods of time. These uncertainties include those discussed in Part I, Item 1A, “Risk Factors.” Based on a critical assessment of its accounting policies and the underlying judgments and uncertainties affecting the application of those policies, management believes that Applied’s consolidated financial statements are fairly stated in accordance with accounting principles generally accepted in the United States of America, and provide a meaningful presentation of Applied’s financial condition and results of operations.
Management believes that the following are critical accounting policies and estimates:
Revenue Recognition
Applied recognizes revenue when all four revenue recognition criteria have been met: persuasive evidence of an arrangement exists; delivery has occurred or services have been rendered; sales price is fixed or determinable; and collectability is probable. Each sale arrangement may contain commercial terms that differ from other arrangements. In addition, Applied frequently enters into contracts that contain multiple deliverables. Judgment is required to properly identify the accounting units of the multiple deliverable transactions and to determine the manner in which revenue should be allocated among the accounting units. Moreover, judgment is used in interpreting the commercial terms and determining when all criteria of revenue recognition have been met in order for revenue recognition to occur in the appropriate accounting period. While changes in the allocation of the estimated sales price between the units of accounting will not affect the amount of total revenue recognized for a particular sales arrangement, any material changes in these allocations could impact the timing of revenue recognition, which could have a material effect on Applied’s financial condition and results of operations.
Warranty Costs
Applied provides for the estimated cost of warranty when revenue is recognized. Estimated warranty costs are determined by analyzing specific product, current and historical configuration statistics and regional warranty support costs. Applied’s warranty obligation is affected by product and component failure rates, material usage and labor costs incurred in correcting product failures during the warranty period. As Applied’s customer engineers and process support engineers are highly trained and deployed globally, labor availability is a significant factor in determining labor costs. The quantity and availability of critical replacement parts is another significant factor in estimating warranty costs. Unforeseen component failures or exceptional component performance can also result in changes to warranty costs. If actual warranty costs differ substantially from Applied’s estimates, revisions to the estimated warranty liability would be required, which could have a material adverse effect on Applied’s business, financial condition and results of operations.
Allowance for Doubtful Accounts
Applied maintains an allowance for doubtful accounts for estimated losses resulting from the inability of its customers to make required payments. This allowance is based on historical experience, credit evaluations, specific customer collection history and any customer-specific issues Applied has identified. Changes in circumstances, such as an unexpected material adverse change in a major customer’s ability to meet its financial obligation to Applied or its payment trends, may require Applied to further adjust its estimates of the recoverability of amounts due to Applied, which could have a material adverse effect on Applied’s business, financial condition and results of operations.
54
Inventory Valuation
Inventories are generally stated at the lower of cost or market, with cost determined on a first-in, first-out basis. The carrying value of inventory is reduced for estimated obsolescence by the difference between its cost and the estimated market value based upon assumptions about future demand. Applied evaluates the inventory carrying value for potential excess and obsolete inventory exposures by analyzing historical and anticipated demand. In addition, inventories are evaluated for potential obsolescence due to the effect of known and anticipated engineering change orders and new products. If actual demand were to be substantially lower than estimated, additional adjustments for excess or obsolete inventory may be required, which could have a material adverse effect on Applied’s business, financial condition and results of operations.
Goodwill and Intangible Assets
Applied reviews goodwill and intangible assets for impairment whenever events or changes in circumstances indicate that the carrying amount of these assets may not be recoverable, and also annually reviews goodwill and intangibles with indefinite lives for impairment. Intangible assets, such as purchased technology, are generally recorded in connection with a business acquisition. The value assigned to intangible assets is usually based on estimates and judgments regarding expectations for the success and life cycle of products and technology acquired. If actual product acceptance differs significantly from the estimates, Applied may be required to record an impairment charge to reduce the carrying value of the reporting unit to its realizable value. The fair value of a reporting unit is estimated using both the income approach and the market approach taking into account such factors as future anticipated operating results and estimated cost of capital. Management uses significant judgment when assessing goodwill for potential impairment, especially in emerging markets. A severe decline in market value could result in an unexpected impairment charge for impaired goodwill, which could have a material adverse effect on Applied’s business, financial condition and results of operations.
Income Taxes
The effective tax rate is highly dependent upon the geographic composition of worldwide earnings, tax regulations governing each region, non-tax deductible expenses incurred in connection with acquisitions and availability of tax credits. Management carefully monitors the changes in many factors and adjusts the effective income tax rate as required. If actual results differ from these estimates, Applied could be required to record a valuation allowance on deferred tax assets or adjust its effective income tax rate, which could have a material adverse effect on Applied’s business, financial condition and results of operations.
Applied accounts for income taxes by recognizing deferred tax assets and liabilities using statutory tax rates for the effect of temporary differences between the book and tax bases of recorded assets and liabilities, net operating losses and tax credit carryforwards. Deferred tax assets are also reduced by a valuation allowance if it is more likely than not that a portion of the deferred tax asset will not be realized. Management has determined that it is more likely than not that Applied’s future taxable income will be sufficient to realize its deferred tax assets, net of existing valuation allowance.
The calculation of tax liabilities involves significant judgment in estimating the impact of uncertainties in the application of complex tax laws. Resolution of these uncertainties in a manner inconsistent with Applied’s expectations could have a material impact on Applied’s results of operations and financial condition.
Non-GAAP Results
Management uses non-GAAP results to evaluate operating and financial performance in light of business objectives and for planning purposes. Applied believes these measures enhance investors’ ability to review the Company’s business from the same perspective as management and facilitate comparisons of this period’s results with prior periods. The non-GAAP results presented below exclude the impact of the following, where applicable: certain discrete tax items; restructuring charges and any associated adjustments; certain acquisition-related costs; impairments of assets, goodwill, or investments; and/or gain or loss on sale of facilities. These non-GAAP measures are not in accordance with GAAP and may differ from non-GAAP methods of accounting and reporting used by other companies. The presentation of this additional information should not be considered a substitute for results prepared in accordance with GAAP.
55
The following tables present a reconciliation of the GAAP and non-GAAP consolidated results for the past three fiscal years:
APPLIED MATERIALS, INC.
UNAUDITED RECONCILIATION OF GAAP TO NON-GAAP RESULTS
Twelve Months Ended | ||||||||||||
October 28, 2012 | October 30, 2011 | October 31, 2010 | ||||||||||
(In millions, except percentages) | ||||||||||||
Non-GAAP Gross Margin | ||||||||||||
Reported gross margin (GAAP basis) | $ | 3,313 | $ | 4,360 | $ | 3,715 | ||||||
Certain items associated with acquisitions1 | 253 | 37 | 73 | |||||||||
Non-GAAP gross margin | $ | 3,566 | $ | 4,397 | $ | 3,788 | ||||||
Non-GAAP gross margin percent (% of net sales) | 40.9 | % | 41.8 | % | 39.7 | % | ||||||
Non-GAAP Operating Income | ||||||||||||
Reported operating income (GAAP basis) | $ | 411 | $ | 2,398 | $ | 1,384 | ||||||
Certain items associated with acquisitions1 | 298 | 51 | 91 | |||||||||
Acquisition integration and deal costs | 81 | 19 | 10 | |||||||||
Impairment of goodwill | 421 | — | — | |||||||||
Restructuring charges and asset impairments2, 3, 4 | 168 | (30 | ) | 246 | ||||||||
Gain on sale of facilities, net | — | (27 | ) | — | ||||||||
Non-GAAP operating income | $ | 1,379 | $ | 2,411 | $ | 1,731 | ||||||
Non-GAAP operating margin percent (% of net sales) | 15.8 | % | 22.9 | % | 18.1 | % | ||||||
Non-GAAP Net Income | ||||||||||||
Reported net income (GAAP basis) | $ | 109 | $ | 1,926 | $ | 938 | ||||||
Certain items associated with acquisitions1 | 298 | 51 | 91 | |||||||||
Acquisition integration and deal costs | 81 | 19 | 10 | |||||||||
Impairment of goodwill | 421 | — | — | |||||||||
Restructuring charges and asset impairments2, 3, 4 | 168 | (30 | ) | 246 | ||||||||
Impairment of strategic investments | 17 | 3 | 13 | |||||||||
Gain on sale of facilities, net | — | (27 | ) | — | ||||||||
Reinstatement of federal R&D tax credit | — | (13 | ) | — | ||||||||
Resolution of audits of prior years’ income tax filings | (22 | ) | (203 | ) | — | |||||||
Income tax effect of non-GAAP adjustments | (112 | ) | (3 | ) | (117 | ) | ||||||
Non-GAAP net income | $ | 960 | $ | 1,723 | $ | 1,181 | ||||||
1 | These items are incremental charges attributable to acquisitions, consisting of inventory fair value adjustments on products sold, and amortization of purchased intangible assets. |
2 | Results for the twelve months ended October 28, 2012 included severance and other charges of $106 million related to the restructuring program announced on October 3, 2012, restructuring and asset impairment charges of $48 million related to the restructuring program announced on May 10, 2012, and severance charges of $14 million related to the integration of Varian. |
3 | Results for the twelve months ended October 30, 2011 included favorable adjustments of $36 million related to a restructuring program announced on July 21, 2010, $19 million related to a restructuring program announced on November 11, 2009, and $5 million related to a restructuring program announced on November 12, 2008, partially offset by asset impairment charges of $30 million primarily related to certain fixed and intangible assets. |
4 | Results for the twelve months ended October 31, 2010 included asset impairment charges of $108 million and restructuring charges of $45 million related to a restructuring program announced on July 21, 2010, restructuring charges of $84 million associated with a restructuring program announced on November 11, 2009, and asset impairment charges of $9 million related to a facility held for sale. |
56
APPLIED MATERIALS, INC.
UNAUDITED RECONCILIATION OF GAAP TO NON-GAAP RESULTS
Twelve Months Ended | ||||||||||||
October 28, 2012 | October 30, 2011 | October 31, 2010 | ||||||||||
(In millions, except per share amounts) | ||||||||||||
Non-GAAP Earnings Per Diluted Share | ||||||||||||
Reported earnings per diluted share (GAAP basis) | $ | 0.09 | $ | 1.45 | $ | 0.70 | ||||||
Certain items associated with acquisitions | 0.19 | 0.03 | 0.05 | |||||||||
Acquisition integration and deal costs | 0.05 | 0.01 | 0.01 | |||||||||
Impairment of goodwill | 0.33 | — | — | |||||||||
Restructuring charges and asset impairments | 0.10 | (0.01 | ) | 0.12 | ||||||||
Impairment of strategic investments | 0.01 | — | — | |||||||||
Gain on sale of facilities, net | — | (0.02 | ) | — | ||||||||
Reinstatement of federal R&D tax credit and resolution of audits of prior years’ income tax filings | (0.02 | ) | (0.16 | ) | — | |||||||
Non-GAAP earnings per diluted share | $ | 0.75 | $ | 1.30 | $ | 0.88 | ||||||
Weighted average number of diluted shares | 1,277 | 1,330 | 1,349 | |||||||||
57
The following table presents a reconciliation of the GAAP and non-GAAP segment results for the past three fiscal years:
APPLIED MATERIALS, INC.
UNAUDITED RECONCILIATION OF GAAP TO NON-GAAP RESULTS
Twelve Months Ended | ||||||||||||
October 28, 2012 | October 30, 2011 | October 31, 2010 | ||||||||||
(In millions, except percentages) | ||||||||||||
Non-GAAP SSG Operating Income | ||||||||||||
Reported operating income (GAAP basis) | $ | 1,243 | $ | 1,764 | $ | 1,892 | ||||||
Certain items associated with acquisitions1 | 253 | 12 | 27 | |||||||||
Acquisition integration and deal costs | 37 | 3 | — | |||||||||
Restructuring charges and asset impairments2 | 4 | — | — | |||||||||
Non-GAAP operating income | $ | 1,537 | $ | 1,779 | $ | 1,919 | ||||||
Non-GAAP operating margin percent (% of net sales) | 27.8 | % | 32.9 | % | 36.2 | % | ||||||
Non-GAAP AGS Operating Income | ||||||||||||
Reported operating income (GAAP basis) | $ | 502 | $ | 482 | $ | 337 | ||||||
Certain items associated with acquisitions1 | 13 | 7 | 11 | |||||||||
Restructuring charges and asset impairments2, 3 | 15 | 24 | — | |||||||||
Non-GAAP operating income | $ | 530 | $ | 513 | $ | 348 | ||||||
Non-GAAP operating margin percent (% of net sales) | 23.2 | % | 21.3 | % | 18.7 | % | ||||||
Non-GAAP Display Operating Income | ||||||||||||
Reported operating income (GAAP basis) | $ | 25 | $ | 147 | $ | 267 | ||||||
Certain items associated with acquisitions1 | 7 | 7 | 7 | |||||||||
Non-GAAP operating income | $ | 32 | $ | 154 | $ | 274 | ||||||
Non-GAAP operating margin percent (% of net sales) | 6.8 | % | 22.0 | % | 30.5 | % | ||||||
Non-GAAP EES Operating Income | ||||||||||||
Reported operating income (loss) (GAAP basis) | $ | (668 | ) | $ | 453 | $ | (466 | ) | ||||
Certain items associated with acquisitions1 | 25 | 25 | 46 | |||||||||
Impairment of goodwill | 421 | — | — | |||||||||
Restructuring charges and asset impairments2, 3, 4 | 38 | (34 | ) | 153 | ||||||||
Non-GAAP operating income (loss) | $ | (184 | ) | $ | 444 | $ | (267 | ) | ||||
Non-GAAP operating margin percent (% of net sales) | (43.3 | )% | 22.3 | % | (18.0 | )% | ||||||
1 | These items are incremental charges attributable to acquisitions, consisting of inventory fair value adjustments on products sold, and amortization of purchased intangible assets. |
2 | Results for the twelve months ended October 28, 2012 included restructuring and asset impairment charges of $43 million related to the restructuring program announced on May 10, 2012 and severance charges of $14 million related to the integration of Varian. |
3 | Results for the twelve months ended October 30, 2011 included favorable adjustments of $36 million related to a restructuring program announced on July 21, 2010, partially offset by asset impairment charges of $26 million primarily related to certain fixed and intangible assets. |
4 | Results for the twelve months ended October 31, 2010 included asset impairment charges of $108 million and restructuring charges of $45 million related to a restructuring program announced on July 21, 2010. |
Note: The reconciliation of GAAP and non-GAAP segment results above does not include certain operating expenses that are managed separately at the corporate level and certain expenses that are not absorbed by the segments, which are reported within corporate and unallocated costs and included in consolidated operating income.
58
Item 7A: | Quantitative and Qualitative Disclosures About Market Risk |
Applied is exposed to interest rate risk related to its investment portfolio and debt issuances. Applied’s investment portfolio includes fixed-income securities with a fair value of approximately $1.5 billion at October 28, 2012. These securities are subject to interest rate risk and will decline in value if interest rates increase. Based on Applied’s investment portfolio at October 28, 2012, an immediate 100 basis point increase in interest rates would result in a decrease in the fair value of the portfolio of approximately $23 million. While an increase in interest rates reduces the fair value of the investment portfolio, Applied will not realize the losses in the consolidated statement of operations unless the individual fixed-income securities are sold prior to recovery or the loss is determined to be other-than-temporary. At October 28, 2012, the carrying amount of debt issued by Applied was $1.9 billion with an estimated fair value of $2.3 billion. A hypothetical decrease in interest rates of 100 basis points would result in an increase in the fair value of Applied’s debt issuances of approximately $221 million at October 28, 2012.
Certain operations of Applied are conducted in foreign currencies, such as Japanese yen, euro, Israeli shekel, Taiwanese dollar and Swiss franc. Applied enters into currency forward exchange and option contracts to hedge a portion of, but not all, existing and anticipated foreign currency denominated transactions expected to occur within 24 months. Gains and losses on these contracts are generally recognized in income at the time that the related transactions being hedged are recognized. Because the effect of movements in currency exchange rates on currency forward exchange and option contracts generally offsets the related effect on the underlying items being hedged, these financial instruments are not expected to subject Applied to risks that would otherwise result from changes in currency exchange rates. Applied does not use derivative financial instruments for trading or speculative purposes. Net foreign currency gains and losses were not material for fiscal 2012.
Item 8: | Financial Statements and Supplementary Data |
The consolidated financial statements required by this Item are set forth on the pages indicated at Item 15(a).
Item 9: | Changes in and Disagreements with Accountants on Accounting and Financial Disclosure |
None.
59
Item 9A: | Controls and Procedures |
Disclosure Controls and Procedures
As of the end of the period covered by this report, management of Applied conducted an evaluation, under the supervision and with the participation of Applied’s Chief Executive Officer and Chief Financial Officer, of the effectiveness of the design and operation of Applied’s disclosure controls and procedures, as such term is defined in Rule 13a-15(e) of the Securities Exchange Act of 1934 (the Exchange Act). Based upon that evaluation, Applied’s Chief Executive Officer and Chief Financial Officer concluded that Applied’s disclosure controls and procedures were effective as of the end of the period covered by this report in ensuring that information required to be disclosed was recorded, processed, summarized and reported within the time periods specified in the SEC’s rules and forms, and to provide reasonable assurance that information required to be disclosed by Applied in such reports is accumulated and communicated to the Company’s management, including its Chief Executive Officer and Chief Financial Officer, as appropriate to allow timely decisions regarding required disclosure.
Management’s Report on Internal Control over Financial Reporting
Applied’s management is responsible for establishing and maintaining adequate internal control over financial reporting, as such term is defined in Rule 13a-15(f) of the Exchange Act. Under the supervision and with the participation of Applied’s Chief Executive Officer and Chief Financial Officer, management of Applied conducted an evaluation of the effectiveness of Applied’s internal control over financial reporting based upon the framework in “Internal Control — Integrated Framework” issued by the Committee of Sponsoring Organizations of the Treadway Commission. Based on that evaluation, Applied’s management concluded that Applied’s internal control over financial reporting was effective as of October 28, 2012.
KPMG LLP, an independent registered public accounting firm, has audited the consolidated financial statements included in this Form 10-K and, as part of the audit, has issued a report, included herein, on the effectiveness of Applied’s internal control over financial reporting as of October 28, 2012.
Changes in Internal Control over Financial Reporting
During the fourth quarter of fiscal 2012, there were no changes in the internal control over financial reporting that materially affected, or are reasonably likely to materially affect, Applied’s internal control over financial reporting.
Inherent Limitations of Disclosure Controls and Procedures and Internal Control over Financial Reporting
It should be noted that any system of controls, however well designed and operated, can provide only reasonable, and not absolute, assurance that the objectives of the system will be met. In addition, the design of any control system is based in part upon certain assumptions about the likelihood of future events.
Item 9B: | Other Information |
None
60
PART III
Pursuant to Paragraph G(3) of the General Instructions to Form 10-K, portions of the information required by Part III of Form 10-K are incorporated by reference from Applied’s Proxy Statement to be filed with the SEC in connection with the 2013 Annual Meeting of Stockholders (the Proxy Statement).
Item 10: | Directors, Executive Officers and Corporate Governance |
(1) Information regarding directors, including director nominations, and Applied’s audit committee and audit committee financial expert, appears in the Proxy Statement under “Election of Directors,” and is incorporated herein by reference.
(2) For information with respect to Executive Officers, see Part I, Item 1 of this Annual Report on Form 10-K, under “Executive Officers of the Registrant.”
(3) Information regarding Section 16(a) beneficial ownership reporting compliance appears in the Proxy Statement under “Section 16(a) Beneficial Ownership Reporting Compliance,” and is incorporated herein by reference.
Applied has implemented the Standards of Business Conduct, a code of ethics with which every person who works for Applied and every member of the Board of Directors is expected to comply. If any substantive amendments are made to the Standards of Business Conduct or any waiver is granted, including any implicit waiver, from a provision of the code to Applied’s Chief Executive Officer, Chief Financial Officer or Chief Accounting Officer, Applied will disclose the nature of such amendment or waiver on its website or in a report on Form 8-K. The above information, including the Standards of Business Conduct, is available on Applied’s website under the Corporate Governance section at http://www.appliedmaterials.com/investor-relations. This website address is intended to be an inactive, textual reference only. None of the materials on, or accessible through, this website is part of this report or is incorporated by reference herein.
Item 11: | Executive Compensation |
Information regarding executive compensation appears in the Proxy Statement under “Executive Compensation and Related Information” and is incorporated herein by reference.
Information regarding compensation committee interlocks and insider participation appears in the Proxy Statement under “Compensation Committee Interlocks and Insider Participation” and is incorporated herein by reference.
Information regarding the compensation committee report appears in the Proxy Statement under “Human Resources and Compensation Committee Report” and is incorporated herein by reference.
Item 12: | Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters |
Information regarding the security ownership of certain beneficial owners and management appears in the Proxy Statement, under “Principal Stockholders,” and is incorporated herein by reference.
The following table summarizes information with respect to options and other equity awards under Applied’s equity compensation plans as of October 28, 2012:
Equity Compensation Plan Information
Plan Category | (a) Number of Securities to be Issued Upon Exercise of Outstanding Options, Warrants and Rights(1) | (b) Weighted Average Exercise Price of Outstanding Options, Warrants and Rights(2) | (c) Number of Securities Available for Future Issuance Under Equity Compensation Plans (Excluding Securities Reflected in Column(a)) | ||||||||
(In millions, except prices) | |||||||||||
Equity compensation plans approved by security holders | 50 | $ | 10.67 | 220 | (3) | ||||||
Equity compensation plans not approved by security holders | 6 | (4) | $ | 10.19 | 16 | (5) | |||||
Total | 56 | $ | 10.53 | 236 | |||||||
61
(1) | Includes only options and restricted stock units (also referred to as “performance shares” under the Applied Materials, Inc. Employee Stock Incentive Plan) outstanding under Applied’s equity compensation plans, as no stock warrants or other rights were outstanding as of October 28, 2012. On March 6, 2012, Applied's stockholders approved the amended and restated Employee Stock Incentive Plan, which included an increase of 125 million shares of Applied common stock available for issuance under the plan and other amendments to the plan. |
(2) | The weighted average exercise price calculation does not take into account any restricted stock units as they have a de minimis purchase price. |
(3) | Includes 30 million shares of Applied common stock available for future issuance under the Applied Materials, Inc. Employees’ Stock Purchase Plan. Of these 30 million shares, 2 million are subject to purchase during the purchase period in effect as of October 28, 2012. |
(4) | Includes options to purchase 4 million shares of Applied common stock assumed through various mergers and acquisitions, after giving effect to the applicable exchange ratios. The assumed options had a weighted average exercise price of $6.66 per share. No further shares are available for issuance under the plans under which these assumed awards were granted. |
(5) | Includes 16 million shares of Applied common stock available for future issuance under the Applied Materials, Inc. Stock Purchase Plan for Offshore Employees. Of these 16 million shares, 2 million are subject to purchase during the purchase period in effect as of October 28, 2012. |
Applied has the following equity compensation plans that have not been approved by stockholders:
2000 Global Equity Incentive Plan. The 2000 Global Equity Incentive Plan (the 2000 Plan) was adopted effective as of June 21, 2000. The 2000 Plan provides for the grant of non-qualified stock options to employees other than officers and directors. The administrator of the 2000 Plan (either the Board of Directors of Applied or a committee appointed by the Board) determines the terms and conditions of all stock options granted; provided, however, that (1) the exercise price generally may not be less than 100 percent of the fair market value (on the date of grant) of the stock covered by the option, and (2) the term of options can be no longer than 10 years (or 13 years in the event of death). Upon stockholder approval of the amended and restated Employee Stock Incentive Plan on March 6, 2012, the 2000 Global Equity Incentive Plan, which had approximately 76 million shares available for issuance, became unavailable for any future grants.
Stock Purchase Plan for Offshore Employees. The Stock Purchase Plan for Offshore Employees (the Offshore ESPP) was adopted effective as of October 16, 1995 for the benefit of employees of Applied’s participating affiliates (other than United States citizens or residents). The Offshore ESPP provides for the grant of options to purchase shares of Applied common stock through payroll deductions pursuant to one or more offerings. The administrator of the Offshore ESPP (the Board of Directors of Applied or a committee appointed by the Board) determines the terms and conditions of all options prior to the start of an offering, including the purchase price of shares, the number of shares covered by the option and when the option may be exercised. All options granted as part of an offering must be granted on the same date. Effective October 28, 2012, Applied amended the Offshore ESPP to increase the number of shares available for issuance under such plan by 15 million shares and correspondingly amended the stockholder-approved Applied Materials, Inc. Employees’ Stock Purchase Plan (the U.S. ESPP) to reduce the number of shares available for issuance under such plan by 15 million shares. Accordingly, as of October 28, 2012 a total of 36 million shares have been authorized for issuance under the Offshore ESPP, and 16 million shares remain available for issuance. These plan amendments did not result in any increase in the total aggregate number of shares authorized for issuance under the Offshore ESPP and the U.S. ESPP.
Nonemployee Director Share Purchase Plan. The Applied Materials, Inc. Nonemployee Director Share Purchase Plan was adopted effective March 22, 2005. The Nonemployee Director Share Purchase Plan provides a method by which non-employee directors may purchase Applied common stock at 100% of fair market value on the purchase date by foregoing cash they have earned as retainer fees or meeting fees. The shares generally are purchased at the same time the directors otherwise would have been paid the fees in cash. Since the directors pay full fair market value for the shares, there is no reserved amount of shares under this plan and, accordingly, the table above does not include any set number of shares available for future issuance under the plan.
Applied Materials Profit Sharing Scheme. The Applied Materials Profit Sharing Scheme was adopted effective July 3, 1996 to enable employees of Applied Materials Ireland Limited and its participating subsidiaries to purchase Applied common stock at 100% of fair market value on the purchase date. Under this plan, eligible employees may elect to forego a certain portion of their base salary and certain bonuses they have earned and that otherwise would be payable in cash to purchase shares of Applied common stock at full fair market value. Since the eligible employees pay full fair market value for the shares, there is no reserved amount of shares under this plan and, accordingly, the table above does not include any set number of shares available for future issuance under the plan.
62
Item 13: | Certain Relationships and Related Transactions, and Director Independence |
The information appearing in the Proxy Statement under the heading “Certain Relationships and Related Transactions” is incorporated herein by reference.
The information appearing in the Proxy Statement under the heading “Director Independence” is incorporated herein by reference.
Item 14: | Principal Accounting Fees and Services |
Information regarding principal accounting fees and services and the audit committee’s preapproval policies and procedures appears in the Proxy Statement under the headings “Fees Paid to KPMG LLP” and “Policy on Audit Committee’s Pre-Approval of Audit and Permissible Non-audit Services of Independent Registered Public Accounting Firm,” and is incorporated herein by reference.
63
PART IV
Item 15: | Exhibits and Financial Statements |
(a) The following documents are filed as part of this Annual Report on Form 10-K:
Page Number | ||
(1) | Financial Statements: | |
(2) | Exhibits: | |
All other schedules are omitted because they are not applicable or the required information is shown in the Consolidated Financial Statements or Notes thereto.
64
REPORT OF INDEPENDENT REGISTERED PUBLIC ACCOUNTING FIRM
The Board of Directors and Stockholders
Applied Materials, Inc.:
We have audited the accompanying consolidated balance sheets of Applied Materials, Inc. and subsidiaries (the Company) as of October 28, 2012 and October 30, 2011, and the related consolidated statements of operations, comprehensive income, stockholders' equity, and cash flows for each of the years in the three‑year period ended October 28, 2012. These consolidated financial statements are the responsibility of the Company's management. Our responsibility is to express an opinion on these consolidated financial statements based on our audits.
We conducted our audits in accordance with the standards of the Public Company Accounting Oversight Board (United States). Those standards require that we plan and perform the audit to obtain reasonable assurance about whether the financial statements are free of material misstatement. An audit includes examining, on a test basis, evidence supporting the amounts and disclosures in the financial statements. An audit also includes assessing the accounting principles used and significant estimates made by management, as well as evaluating the overall financial statement presentation. We believe that our audits provide a reasonable basis for our opinion.
In our opinion, the consolidated financial statements referred to above present fairly, in all material respects, the financial position of Applied Materials, Inc. and subsidiaries as of October 28, 2012 and October 30, 2011, and the results of their operations and their cash flows for each of the years in the three‑year period ended October 28, 2012, in conformity with U.S. generally accepted accounting principles.
We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States), Applied Materials, Inc.'s internal control over financial reporting as of October 28, 2012, based on criteria established in Internal Control - Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (COSO), and our report dated December 5, 2012 expressed an unqualified opinion on the effectiveness of the Company's internal control over financial reporting.
/S/ KPMG LLP |
KPMG LLP |
Santa Clara, California
December 5, 2012
65
REPORT OF INDEPENDENT REGISTERED PUBLIC ACCOUNTING FIRM
The Board of Directors and Stockholders
Applied Materials, Inc.:
We have audited Applied Materials, Inc.'s (the Company) internal control over financial reporting as of October 28, 2012, based on criteria established in Internal Control - Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (COSO). The Company's management is responsible for maintaining effective internal control over financial reporting and for its assessment of the effectiveness of internal control over financial reporting, included in the accompanying Management's Report on Internal Control over Financial Reporting in Item 9A. Our responsibility is to express an opinion on the Company's internal control over financial reporting based on our audit.
We conducted our audit in accordance with the standards of the Public Company Accounting Oversight Board (United States). Those standards require that we plan and perform the audit to obtain reasonable assurance about whether effective internal control over financial reporting was maintained in all material respects. Our audit included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, and testing and evaluating the design and operating effectiveness of internal control based on the assessed risk. Our audit also included performing such other procedures as we considered necessary in the circumstances. We believe that our audit provides a reasonable basis for our opinion.
A company's internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A company's internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the company's assets that could have a material effect on the financial statements.
Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate.
In our opinion, Applied Materials, Inc. maintained, in all material respects, effective internal control over financial reporting as of October 28, 2012, based on criteria established in Internal Control - Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission.
We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States), the consolidated balance sheets of Applied Materials, Inc. and subsidiaries as of October 28, 2012 and October 30, 2011, and the related consolidated statements of operations, comprehensive income, stockholders' equity, and cash flows for each of the years in the three‑year period ended October 28, 2012. Our report dated December 5, 2012 expressed an unqualified opinion on those consolidated financial statements.
/s/ KPMG LLP |
KPMG LLP |
Santa Clara, California
December 5, 2012
66
APPLIED MATERIALS, INC.
CONSOLIDATED STATEMENTS OF OPERATIONS
Fiscal Year | 2012 | 2011 | 2010 | ||||||||
(In millions, except per share amounts) | |||||||||||
Net sales | $ | 8,719 | $ | 10,517 | $ | 9,549 | |||||
Cost of products sold | 5,406 | 6,157 | 5,834 | ||||||||
Gross margin | 3,313 | 4,360 | 3,715 | ||||||||
Operating expenses: | |||||||||||
Research, development and engineering | 1,237 | 1,118 | 1,143 | ||||||||
Selling, general and administrative | 1,076 | 901 | 942 | ||||||||
Impairment of goodwill (Note 9) | 421 | — | — | ||||||||
Restructuring charges and asset impairments (Note 11) | 168 | (30 | ) | 246 | |||||||
Gain on sale of facilities, net (Note 7) | — | (27 | ) | — | |||||||
Total operating expenses | 2,902 | 1,962 | 2,331 | ||||||||
Income from operations | 411 | 2,398 | 1,384 | ||||||||
Impairments of strategic investments (Notes 3 and 4) | 17 | 3 | 13 | ||||||||
Interest and other expenses (Note 10) | 95 | 59 | 21 | ||||||||
Interest and other income, net | 17 | 42 | 37 | ||||||||
Income before income taxes | 316 | 2,378 | 1,387 | ||||||||
Provision for income taxes | 207 | 452 | 449 | ||||||||
Net income | $ | 109 | $ | 1,926 | $ | 938 | |||||
Earnings per share: | |||||||||||
Basic | $ | 0.09 | $ | 1.46 | $ | 0.70 | |||||
Diluted | $ | 0.09 | $ | 1.45 | $ | 0.70 | |||||
Weighted average number of shares: | |||||||||||
Basic | 1,266 | 1,319 | 1,340 | ||||||||
Diluted | 1,277 | 1,330 | 1,349 | ||||||||
See accompanying Notes to Consolidated Financial Statements.
67
APPLIED MATERIALS, INC.
CONSOLIDATED STATEMENTS OF COMPREHENSIVE INCOME
Fiscal Year | 2012 | 2011 | 2010 | ||||||||
(In millions) | |||||||||||
Net income | $ | 109 | $ | 1,926 | $ | 938 | |||||
Other comprehensive income, net of tax: | |||||||||||
Change in unrealized net gain (loss) on investments | (1 | ) | (8 | ) | 4 | ||||||
Change in unrealized net gain (loss) on derivative investments | 1 | (4 | ) | 4 | |||||||
Change in defined benefit plan liability (Notes 12 and 13) | (65 | ) | 14 | (6 | ) | ||||||
Change in cumulative translation adjustments | (2 | ) | 2 | 2 | |||||||
Other comprehensive income (loss), net of tax | (67 | ) | 4 | 4 | |||||||
Comprehensive income | $ | 42 | $ | 1,930 | $ | 942 | |||||
See accompanying Notes to Consolidated Financial Statements.
68
APPLIED MATERIALS, INC.
CONSOLIDATED BALANCE SHEETS
October 28, 2012 | October 30, 2011 | ||||||
(In millions, except per share amounts) | |||||||
ASSETS | |||||||
Current assets: | |||||||
Cash and cash equivalents (Notes 3 and 4) | $ | 1,392 | $ | 5,960 | |||
Short-term investments (Notes 3 and 4) | 545 | 283 | |||||
Accounts receivable, net (Note 6) | 1,220 | 1,532 | |||||
Inventories (Note 7) | 1,272 | 1,701 | |||||
Deferred income taxes, net (Note 14) | 369 | 580 | |||||
Other current assets | 304 | 299 | |||||
Total current assets | 5,102 | 10,355 | |||||
Long-term investments (Notes 3 and 4) | 1,055 | 931 | |||||
Property, plant and equipment, net (Note 7) | 910 | 866 | |||||
Goodwill (Notes 8 and 9) | 3,518 | 1,335 | |||||
Purchased technology and other intangible assets, net (Notes 8 and 9) | 1,355 | 211 | |||||
Deferred income taxes and other assets (Note 14) | 162 | 163 | |||||
Total assets | $ | 12,102 | $ | 13,861 | |||
LIABILITIES AND STOCKHOLDERS’ EQUITY | |||||||
Current liabilities: | |||||||
Accounts payable and accrued expenses (Note 7) | $ | 1,436 | $ | 1,520 | |||
Customer deposits and deferred revenue (Note 7) | 755 | 1,116 | |||||
Income taxes payable (Note 14) | 74 | 158 | |||||
Total current liabilities | 2,265 | 2,794 | |||||
Long-term debt (Note 10) | 1,946 | 1,947 | |||||
Deferred income taxes and income taxes payable (Note 14) | 341 | 104 | |||||
Employee benefits and other liabilities (Note 13) | 315 | 216 | |||||
Total liabilities | 4,867 | 5,061 | |||||
Commitments and contingencies (Note 15) | |||||||
Stockholders’ equity (Note 12): | |||||||
Preferred stock: $.01 par value per share; 1 shares authorized; no shares issued | — | — | |||||
Common stock: $.01 par value per share; 2,500 shares authorized; 1,197 and 1,306 shares outstanding at 2012 and 2011, respectively | 12 | 13 | |||||
Additional paid-in capital | 5,863 | 5,616 | |||||
Retained earnings | 12,700 | 13,029 | |||||
Treasury stock: 699 and 573 shares at 2012 and 2011, respectively, net | (11,279 | ) | (9,864 | ) | |||
Accumulated other comprehensive income (loss) | (61 | ) | 6 | ||||
Total stockholders’ equity | 7,235 | 8,800 | |||||
Total liabilities and stockholders’ equity | $ | 12,102 | $ | 13,861 | |||
See accompanying Notes to Consolidated Financial Statements.
69
APPLIED MATERIALS, INC
CONSOLIDATED STATEMENTS OF STOCKHOLDERS’ EQUITY
Common Stock | Additional Paid-In Capital | Retained Earnings | Treasury Stock | Accumulated Other Comprehensive Income (Loss) | Total | ||||||||||||||||||||||||||||||||||||||||||||||
Shares | Amount | Shares | Amount | ||||||||||||||||||||||||||||||||||||||||||||||||
(In millions) | |||||||||||||||||||||||||||||||||||||||||||||||||||
Balance at October 25, 2009 | 1,341 | $ | 13 | $ | 5,195 | $ | 10,934 | 508 | $ | (9,046 | ) | $ | (2 | ) | $ | 7,094 | |||||||||||||||||||||||||||||||||||
Net income | — | — | — | 938 | — | — | — | 938 | |||||||||||||||||||||||||||||||||||||||||||
Other comprehensive income, net of tax | — | — | — | — | — | — | 4 | 4 | |||||||||||||||||||||||||||||||||||||||||||
Dividends | — | — | — | (361 | ) | — | — | — | (361 | ) | |||||||||||||||||||||||||||||||||||||||||
Share-based compensation | — | — | 126 | — | — | — | — | 126 | |||||||||||||||||||||||||||||||||||||||||||
Issuance under stock plans, net of a tax detriment of $28 and other | 16 | — | 85 | — | — | — | — | 85 | |||||||||||||||||||||||||||||||||||||||||||
Common stock repurchases | (29 | ) | — | — | — | 29 | (350 | ) | — | (350 | ) | ||||||||||||||||||||||||||||||||||||||||
Balance at October 31, 2010 | 1,328 | $ | 13 | $ | 5,406 | $ | 11,511 | 537 | $ | (9,396 | ) | $ | 2 | $ | 7,536 | ||||||||||||||||||||||||||||||||||||
Net income | — | — | — | 1,926 | — | — | — | 1,926 | |||||||||||||||||||||||||||||||||||||||||||
Other comprehensive income, net of tax | — | — | — | — | — | — | 4 | 4 | |||||||||||||||||||||||||||||||||||||||||||
Dividends | — | — | — | (408 | ) | — | — | — | (408 | ) | |||||||||||||||||||||||||||||||||||||||||
Share-based compensation | — | — | 146 | — | — | — | — | 146 | |||||||||||||||||||||||||||||||||||||||||||
Issuance under stock plans, net of a tax detriment of $5 and other | 14 | — | 64 | — | — | — | — | 64 | |||||||||||||||||||||||||||||||||||||||||||
Common stock repurchases | (36 | ) | — | — | — | 36 | (468 | ) | — | (468 | ) | ||||||||||||||||||||||||||||||||||||||||
Balance at October 30, 2011 | 1,306 | $ | 13 | $ | 5,616 | $ | 13,029 | 573 | $ | (9,864 | ) | $ | 6 | $ | 8,800 | ||||||||||||||||||||||||||||||||||||
Net income | — | — | — | 109 | — | — | — | 109 | |||||||||||||||||||||||||||||||||||||||||||
Other comprehensive loss, net of tax | — | — | — | — | — | — | (67 | ) | (67 | ) | |||||||||||||||||||||||||||||||||||||||||
Dividends | — | — | — | (438 | ) | — | — | — | (438 | ) | |||||||||||||||||||||||||||||||||||||||||
Share-based compensation | — | — | 182 | — | — | — | — | 182 | |||||||||||||||||||||||||||||||||||||||||||
Stock options assumed in connection with acquisition | — | — | 11 | — | — | — | — | 11 | |||||||||||||||||||||||||||||||||||||||||||
Issuance under stock plans, net of a tax detriment of $12 and other | 17 | — | 54 | — | — | — | — | 54 | |||||||||||||||||||||||||||||||||||||||||||
Common stock repurchases | (126 | ) | (1 | ) | — | — | 126 | (1,415 | ) | — | (1,416 | ) | |||||||||||||||||||||||||||||||||||||||
Balance at October 28, 2012 | 1,197 | $ | 12 | $ | 5,863 | $ | 12,700 | 699 | $ | (11,279 | ) | $ | (61 | ) | $ | 7,235 | |||||||||||||||||||||||||||||||||||
See accompanying Notes to Consolidated Financial Statements.
70
APPLIED MATERIALS, INC.
CONSOLIDATED STATEMENTS OF CASH FLOWS
Fiscal Year | 2012 | 2011 | 2010 | ||||||||
(In millions) | |||||||||||
Cash flows from operating activities: | |||||||||||
Net income | $ | 109 | $ | 1,926 | $ | 938 | |||||
Adjustments required to reconcile net income to cash provided by operating activities: | |||||||||||
Depreciation and amortization | 422 | 246 | 305 | ||||||||
Net loss (gain) on dispositions and fixed asset retirements | 7 | (13 | ) | 20 | |||||||
Provision for bad debts | 14 | 5 | 17 | ||||||||
Impairment of goodwill | 421 | — | — | ||||||||
Restructuring charges and asset impairments | 168 | (30 | ) | 246 | |||||||
Deferred income taxes | 161 | 122 | (186 | ) | |||||||
Net recognized loss on investments | 23 | 19 | 20 | ||||||||
Impairments of strategic investments | 17 | 3 | 13 | ||||||||
Share-based compensation | 182 | 146 | 126 | ||||||||
Changes in operating assets and liabilities, net of amounts acquired: | |||||||||||
Accounts receivable | 493 | 292 | (767 | ) | |||||||
Inventories | 679 | (163 | ) | 145 | |||||||
Other current assets | 37 | (23 | ) | 179 | |||||||
Other assets | 9 | (38 | ) | (7 | ) | ||||||
Accounts payable and accrued expenses | (435 | ) | (221 | ) | 469 | ||||||
Customer deposits and deferred revenue | (412 | ) | 267 | (23 | ) | ||||||
Income taxes payable | (34 | ) | (89 | ) | 262 | ||||||
Employee benefits and other liabilities | (10 | ) | (20 | ) | (34 | ) | |||||
Cash provided by operating activities | 1,851 | 2,429 | 1,723 | ||||||||
Cash flows from investing activities: | |||||||||||
Capital expenditures | (162 | ) | (209 | ) | (169 | ) | |||||
Cash paid for acquisitions, net of cash acquired | (4,190 | ) | — | (323 | ) | ||||||
Proceeds from sale of facilities and dispositions | — | 130 | — | ||||||||
Proceeds from sales and maturities of investments | 1,019 | 1,923 | 1,408 | ||||||||
Purchases of investments | (1,327 | ) | (1,137 | ) | (1,778 | ) | |||||
Cash provided by (used in) investing activities | (4,660 | ) | 707 | (862 | ) | ||||||
Cash flows from financing activities: | |||||||||||
Debt borrowings (repayments), net | (1 | ) | 1,744 | (6 | ) | ||||||
Payments of debt issuance costs | — | (14 | ) | — | |||||||
Proceeds from common stock issuances | 97 | 95 | 129 | ||||||||
Common stock repurchases | (1,416 | ) | (468 | ) | (350 | ) | |||||
Payments of dividends to stockholders | (434 | ) | (397 | ) | (349 | ) | |||||
Cash provided by (used in) financing activities | (1,754 | ) | 960 | (576 | ) | ||||||
Effect of exchange rate changes on cash and cash equivalents | (5 | ) | 6 | (3 | ) | ||||||
Increase (decrease) in cash and cash equivalents | (4,568 | ) | 4,102 | 282 | |||||||
Cash and cash equivalents — beginning of year | 5,960 | 1,858 | 1,576 | ||||||||
Cash and cash equivalents — end of year | $ | 1,392 | $ | 5,960 | $ | 1,858 | |||||
Supplemental cash flow information: | |||||||||||
Cash payments for income taxes | $ | 243 | $ | 761 | $ | 388 | |||||
Cash refunds from income taxes | $ | 79 | $ | 289 | $ | 201 | |||||
Cash payments for interest | $ | 94 | $ | 14 | $ | 14 | |||||
See accompanying Notes to Consolidated Financial Statements.
71
APPLIED MATERIALS, INC.
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS
Note 1 | Summary of Significant Accounting Policies |
Principles of Consolidation and Basis of Presentation
The consolidated financial statements include the accounts of Applied Materials, Inc. and its subsidiaries (Applied or the Company) after elimination of intercompany balances and transactions. All references to a fiscal year apply to Applied’s fiscal year which ends on the last Sunday in October. Fiscal 2012 and 2011 contained 52 weeks each, while fiscal 2010 contained 53 weeks. Each fiscal quarter of 2012 and 2011 contained 13 weeks. The first quarter of fiscal 2010 contained 14 weeks, while the second, third, and fourth quarters of fiscal 2010 each contained 13 weeks.
In November 2011, Applied completed its acquisition of Varian Semiconductor Equipment Associates, Inc. (Varian). Beginning in the first quarter of fiscal 2012, the acquired business is included in Applied's consolidated results of operations and the results of the Silicon Systems Group and Applied Global Services segments.
Use of Estimates
The preparation of financial statements in conformity with accounting principles generally accepted in the United States of America requires management to make judgments, estimates and assumptions that affect the amounts reported in the financial statements and accompanying notes. Actual results could differ materially from those estimates. On an ongoing basis, Applied evaluates its estimates, including those related to accounts receivable and sales allowances, fair values of financial instruments, inventories, intangible assets and goodwill, useful lives of intangible assets and property and equipment, fair values of share-based awards, and income taxes, among others. Applied bases its estimates on historical experience and on various other assumptions that are believed to be reasonable, the results of which form the basis for making judgments about the carrying values of assets and liabilities.
Cash Equivalents
All highly-liquid investments with a remaining maturity of three months or less at the time of purchase are considered to be cash equivalents. Cash equivalents consist primarily of investments in institutional money market funds.
Investments
All of Applied’s investments, except equity investments held in privately-held companies, are classified as available-for-sale at the respective balance sheet dates. Investments classified as available-for-sale are recorded at fair value based upon quoted market prices, and any temporary difference between the cost and fair value of an investment is presented as a separate component of accumulated other comprehensive income (loss). The specific identification method is used to determine the gains and losses on investments.
Equity investments in privately-held companies are generally accounted for under the cost method of accounting and are periodically assessed for other-than-temporary impairment when an event or circumstance indicates that an other-than-temporary decline in value may have occurred.
Allowance for Doubtful Accounts
Applied maintains an allowance for doubtful accounts for estimated losses resulting from the inability of its customers to make required payments. This allowance is based on historical experience, credit evaluations, specific customer collection history and any customer-specific issues Applied has identified. Changes in circumstances, such as an unexpected material adverse change in a major customer’s ability to meet its financial obligation to Applied or its payment trends, may require Applied to further adjust its estimates of the recoverability of amounts due to Applied.
Inventories
Inventories are stated at the lower of cost or market, with cost determined on a first-in, first-out (FIFO) basis. Applied adjusts inventory carrying value for estimated obsolescence equal to the difference between the cost of inventory and the estimated market value based upon assumptions about future demand and market conditions. Applied fully reserves for inventories and noncancelable purchase orders for inventory deemed obsolete. Applied performs periodic reviews of inventory items to identify excess inventories on hand by comparing on-hand balances to anticipated usage using recent historical activity as well as anticipated or forecasted demand. If estimates of customer demand diminish further or market conditions become less favorable than those projected by Applied, additional inventory adjustments may be required.
72
Property, Plant and Equipment
Property, plant and equipment is stated at cost. Depreciation is provided over the estimated useful lives of the assets using the straight-line method. Estimated useful lives for financial reporting purposes are as follows: buildings and improvements, 3 to 30 years; demonstration and manufacturing equipment, 3 to 5 years; software, 3 to 5 years; and furniture, fixtures and other equipment, 3 to 15 years. Land improvements are amortized over the shorter of 15 years or the estimated useful life. Leasehold improvements are amortized over the shorter of five years or the lease term.
Intangible Assets
Goodwill and indefinite-lived assets are not amortized, but are reviewed for impairment annually during the fourth quarter of each fiscal year. Purchased technology and other intangible assets are presented at cost, net of accumulated amortization, and are amortized over their estimated useful lives of 1 to 15 years using the straight-line method.
Long-Lived Assets
Applied reviews long-lived assets for impairment whenever events or changes in circumstances indicate that the carrying amount of these assets may not be recoverable. Applied assesses these assets for impairment based on estimated future cash flows from these assets.
Research, Development and Engineering Costs
Research, development and engineering costs are expensed as incurred.
Sales and Value Added Taxes
Taxes collected from customers and remitted to governmental authorities are presented on a net basis in the accompanying Consolidated Statements of Operations.
Warranty
Applied provides for the estimated cost of warranty when revenue is recognized. Estimated warranty costs are determined by analyzing specific product, current and historical configuration statistics and regional warranty support costs. Applied's warranty obligation is affected by product and component failure rates, material usage and labor costs incurred in correcting product failures during the warranty period. If actual warranty costs differ substantially from Applied's estimates, revisions to the estimated warranty liability would be required.
Income Taxes
Income tax expense is based on pretax earnings. Deferred tax assets and liabilities are recognized for the expected tax consequences of temporary differences between the book and tax bases of recorded assets and liabilities, net operating losses and tax credit carryforwards.
Restructuring
From time to time, Applied initiates restructuring activities to appropriately align its cost structure relative to prevailing economic and industry conditions and associated customer demand as well as in connection with certain acquisitions. Costs associated with restructuring actions can include termination benefits and related charges in addition to facility closure, contract termination and other related activities. Costs associated with restructuring activities are included in restructuring charges and asset impairments in the Consolidated Statements of Operations.
73
Revenue Recognition
Applied recognizes revenue when all four revenue recognition criteria have been met: persuasive evidence of an arrangement exists; delivery has occurred or services have been rendered; seller’s price to buyer is fixed or determinable; and collectability is probable. Applied’s shipping terms are customarily FOB Applied shipping point or equivalent terms. Applied’s revenue recognition policy generally results in revenue recognition at the following points: (1) for all transactions where legal title passes to the customer upon shipment, Applied recognizes revenue upon shipment for all products that have been demonstrated to meet product specifications prior to shipment; the portion of revenue associated with certain installation-related tasks is deferred, and that revenue is recognized upon completion of the installation-related tasks; (2) for products that have not been demonstrated to meet product specifications prior to shipment, revenue is recognized at customer technical acceptance; (3) for transactions where legal title does not pass at shipment, revenue is recognized when legal title passes to the customer, which is generally at customer technical acceptance; and (4) for arrangements containing multiple elements, the revenue relating to the undelivered elements is deferred using the relative selling price method utilizing estimated sales prices until delivery of the deferred elements. Applied limits the amount of revenue recognition for delivered elements to the amount that is not contingent on the future delivery of products or services, future performance obligations or subject to customer-specified return or adjustment. In cases where Applied has sold products that have been demonstrated to meet product specifications prior to shipment, Applied believes that at the time of delivery, it has an enforceable claim to amounts recognized as revenue. Spare parts revenue is generally recognized upon shipment, and services revenue is generally recognized over the period that the services are provided.
When a sales arrangement contains multiple elements, such as hardware and services and/or software products, Applied allocates revenue to each element based on a selling price hierarchy. The selling price for a deliverable is based on its vendor specific objective evidence (VSOE) if available, third party evidence (TPE) if VSOE is not available, or estimated selling price (ESP) if neither VSOE nor TPE is available. Applied generally utilizes the ESP due to the nature of its products. In multiple element arrangements where more-than-incidental software deliverables are included, revenue is allocated to each separate unit of accounting for each of the non-software deliverables and to the software deliverables as a group using the relative selling prices of each of the deliverables in the arrangement based on the aforementioned selling price hierarchy. If the arrangement contains more than one software deliverable, the arrangement consideration allocated to the software deliverables as a group is then allocated to each software deliverable using the guidance for recognizing software revenue.
Derivative Financial Instruments
Applied uses financial instruments, such as forward exchange and currency option contracts, to hedge a portion of, but not all, existing and anticipated foreign currency denominated transactions typically expected to occur within 24 months. The terms of currency instruments used for hedging purposes are generally consistent with the timing of the transactions being hedged. The purpose of Applied’s foreign currency management is to mitigate the effect of exchange rate fluctuations on certain foreign currency denominated revenues, costs and eventual cash flows. All of Applied’s derivative financial instruments are recorded at fair value based upon quoted market prices for comparable instruments. For derivative instruments designated and qualifying as cash flow hedges of anticipated foreign currency denominated transactions, the effective portion of the gain or loss on these hedges is reported as a component of accumulated other comprehensive income (loss) in stockholders’ equity, and is reclassified into earnings when the hedged transaction affects earnings. If the transaction being hedged fails to occur, or if a portion of any derivative is ineffective, the gain or loss on the associated financial instrument is recorded promptly in earnings. For derivative instruments used to hedge existing foreign currency denominated assets or liabilities, the gain or loss on these hedges is recorded promptly in earnings to offset the changes in the fair value of the assets or liabilities being hedged. Applied does not use derivative financial instruments for trading or speculative purposes.
Foreign Currencies
As of October 28, 2012, primarily all of Applied’s subsidiaries use the United States dollar as their functional currency. Accordingly, assets and liabilities of these subsidiaries are remeasured using exchange rates in effect at the end of the period, except for non-monetary assets, such as inventories and property, plant and equipment, which are remeasured using historical exchange rates. Foreign currency-denominated revenues and costs are remeasured using average exchange rates for the period, except for costs related to those balance sheet items that are remeasured using historical exchange rates. The resulting remeasurement gains and losses are included in the Consolidated Statements of Operations as incurred.
74
Concentrations of Credit Risk
Financial instruments that potentially subject Applied to significant concentrations of credit risk consist principally of cash equivalents, investments, trade accounts receivable and derivative financial instruments used in hedging activities. Applied invests in a variety of financial instruments, such as, but not limited to, certificates of deposit, corporate and municipal bonds, United States Treasury and agency securities, and asset-backed and mortgage-backed securities, and, by policy, limits the amount of credit exposure with any one financial institution or commercial issuer. Applied performs ongoing credit evaluations of its customers’ financial condition and generally requires no collateral to secure accounts receivable. Applied maintains an allowance reserve for potentially uncollectible accounts receivable based on its assessment of the collectability of accounts receivable. Applied regularly reviews the allowance by considering factors such as historical experience, credit quality, age of the accounts receivable balances, and current economic conditions that may affect a customer’s ability to pay. In addition, Applied utilizes letters of credit to mitigate credit risk when considered appropriate. Applied is exposed to credit-related losses in the event of nonperformance by counterparties to derivative financial instruments, but does not expect any counterparties to fail to meet their obligations.
Recent Accounting Pronouncements
In June 2011, the Financial Accounting Standards Board (FASB) issued authoritative guidance on the presentation of comprehensive income to require an entity to present the total of comprehensive income, the components of net income, and the components of other comprehensive income either in a single continuous statement of comprehensive income or in two separate but consecutive statements. The authoritative guidance also required presentation of adjustments for items that are reclassified from other comprehensive income in the statement where the components of net income and the components of other compressive income are presented, which was indefinitely deferred by the FASB in December 2011. Applied early adopted this authoritative guidance in fiscal 2012. The implementation of this authoritative guidance did not have an impact on Applied’s financial position or results of operations.
In July 2012, the FASB amended its existing guidance for goodwill and other intangible assets. This authoritative guidance gives companies the option to first perform a qualitative assessment to determine whether it is more likely than not that an indefinite-lived intangible asset is impaired. To perform a qualitative assessment, a company must identify and evaluate changes in economic, industry and company-specific events and circumstances that could affect the significant inputs used to determine the fair value of an indefinite-lived intangible asset. If a company determines that it is more likely than not that the fair value of such an asset exceeds its carrying amount, it would not need to calculate the fair value of the asset in that year. This authoritative guidance becomes effective for Applied in the first quarter of fiscal 2013, with early adoption permitted. The implementation of this authoritative guidance is not expected to have a material impact on Applied's financial position or results of operations.
75
Note 2 | Earnings Per Share |
Basic earnings per share is determined using the weighted average number of common shares outstanding during the period. Diluted earnings per share is determined using the weighted average number of common shares and potential common shares (representing the dilutive effect of stock options, restricted stock units, and employee stock purchase plans shares) outstanding during the period. Applied’s net income has not been adjusted for any period presented for purposes of computing basic or diluted earnings per share due to the Company’s non-complex capital structure.
2012 | 2011 | 2010 | |||||||||
(In millions, except per share amounts) | |||||||||||
Numerator: | |||||||||||
Net income | $ | 109 | $ | 1,926 | $ | 938 | |||||
Denominator: | |||||||||||
Weighted average common shares outstanding | 1,266 | 1,319 | 1,340 | ||||||||
Effect of dilutive stock options, restricted stock units and employee stock purchase plan shares | 11 | 11 | 9 | ||||||||
Denominator for diluted earnings per share | 1,277 | 1,330 | 1,349 | ||||||||
Basic earnings per share | $ | 0.09 | $ | 1.46 | $ | 0.70 | |||||
Diluted earnings per share | $ | 0.09 | $ | 1.45 | $ | 0.70 | |||||
Potentially dilutive securities | 9 | 16 | 34 | ||||||||
Potentially dilutive securities attributable to outstanding stock options and restricted stock units were excluded from the calculation of diluted earnings per share because the combined exercise price, average unamortized fair value and assumed tax benefits upon the exercise of options and the vesting of restricted stock units were greater than the average market price of Applied common stock, and therefore their inclusion would have been anti-dilutive.
76
Note 3 | Cash, Cash Equivalents and Investments |
Summary of Cash, Cash Equivalents and Investments
The following tables summarize Applied’s cash, cash equivalents and investments by security type:
October 28, 2012 | Cost | Gross Unrealized Gains | Gross Unrealized Losses | Estimated Fair Value | |||||||||||
(In millions) | |||||||||||||||
Cash | $ | 876 | $ | — | $ | — | $ | 876 | |||||||
Cash equivalents: | |||||||||||||||
Money market funds | 483 | — | — | 483 | |||||||||||
Municipal securities | 33 | — | — | 33 | |||||||||||
Total Cash equivalents | 516 | — | — | 516 | |||||||||||
Total Cash and Cash equivalents | $ | 1,392 | $ | — | $ | — | $ | 1,392 | |||||||
Short-term and long-term investments: | |||||||||||||||
U.S. Treasury and agency securities | $ | 373 | $ | 1 | $ | — | $ | 374 | |||||||
Non-U.S. government securities* | 29 | — | — | 29 | |||||||||||
Municipal securities | 396 | 2 | — | 398 | |||||||||||
Commercial paper, corporate bonds and medium-term notes | 381 | 3 | — | 384 | |||||||||||
Asset-backed and mortgage-backed securities | 294 | 4 | — | 298 | |||||||||||
Total fixed income securities | 1,473 | 10 | — | 1,483 | |||||||||||
Publicly traded equity securities | 32 | 15 | — | 47 | |||||||||||
Equity investments in privately-held companies | 70 | — | — | 70 | |||||||||||
Total short-term and long-term investments | $ | 1,575 | $ | 25 | $ | — | $ | 1,600 | |||||||
Total Cash, Cash equivalents and Investments | $ | 2,967 | $ | 25 | $ | — | $ | 2,992 | |||||||
_________________________
* Includes agency and corporate debt securities guaranteed by non-U.S. governments, which consist of Germany, Canada and Australia.
October 30, 2011 | Cost | Gross Unrealized Gains | Gross Unrealized Losses | Estimated Fair Value | |||||||||||
(In millions) | |||||||||||||||
Cash | $ | 297 | $ | — | $ | — | $ | 297 | |||||||
Cash equivalents: | |||||||||||||||
Money market funds | 5,663 | — | — | 5,663 | |||||||||||
Total Cash equivalents | 5,663 | — | — | 5,663 | |||||||||||
Total Cash and Cash equivalents | $ | 5,960 | $ | — | $ | — | $ | 5,960 | |||||||
Short-term and long-term investments: | |||||||||||||||
U.S. Treasury and agency securities | $ | 184 | $ | 1 | $ | — | $ | 185 | |||||||
Non-U.S. government securities | 40 | — | — | 40 | |||||||||||
Municipal securities | 371 | 2 | — | 373 | |||||||||||
Commercial paper, corporate bonds and medium-term notes | 216 | 3 | 1 | 218 | |||||||||||
Asset-backed and mortgage-backed securities | 307 | 3 | 1 | 309 | |||||||||||
Total fixed income securities | 1,118 | 9 | 2 | 1,125 | |||||||||||
Publicly traded equity securities | 8 | 19 | — | 27 | |||||||||||
Equity investments in privately-held companies | 62 | — | — | 62 | |||||||||||
Total short-term and long-term investments | $ | 1,188 | $ | 28 | $ | 2 | $ | 1,214 | |||||||
Total Cash, Cash equivalents and Investments | $ | 7,148 | $ | 28 | $ | 2 | $ | 7,174 | |||||||
77
Maturities of Investments
The following table summarizes the contractual maturities of Applied’s investments at October 28, 2012:
Cost | Estimated Fair Value | ||||||
(In millions) | |||||||
Due in one year or less | $ | 515 | $ | 516 | |||
Due after one through five years | 663 | 668 | |||||
Due after five years | 1 | 2 | |||||
No single maturity date** | 396 | 414 | |||||
$ | 1,575 | $ | 1,600 | ||||
_________________________
** Securities with no single maturity date include publicly-traded and privately-held equity securities, and asset-backed and mortgage-backed securities.
Gains and Losses on Investments
Gross realized gains and losses on sales of investments during fiscal 2012, 2011, and 2010 were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Gross realized gains | $ | 3 | $ | 20 | $ | 6 | |||||
Gross realized losses | $ | 3 | $ | 4 | $ | 2 | |||||
At October 28, 2012, gross unrealized losses related to Applied's investment portfolio were not material. Applied regularly reviews its investment portfolio to identify and evaluate investments that have indications of possible impairment. Factors considered in determining whether an unrealized loss was considered to be temporary, or other-than-temporary and therefore impaired, include: the length of time and extent to which fair value has been lower than the cost basis; the financial condition, credit quality and near-term prospects of the investee; and whether it is more likely than not that Applied will be required to sell the security prior to recovery. Generally, the contractual terms of investments in marketable securities do not permit settlement at prices less than the amortized cost of the investments. Applied determined that the gross unrealized losses on its marketable securities at October 28, 2012, October 30, 2011 and October 31, 2010 were temporary in nature and therefore it did not recognize any impairment of its marketable securities for fiscal 2012, fiscal 2011 or fiscal 2010. During fiscal 2012, 2011 and 2010, Applied determined that certain of its equity investments held in privately-held companies were other-than-temporarily impaired and, accordingly, recognized impairment charges of $17 million, $3 million and $13 million, respectively.
The following table provides the fair market value of Applied’s investments with unrealized losses that are not deemed to be other-than-temporarily impaired as of October 30, 2011.
In Loss Position for Less Than 12 Months | Total | ||||||||||||||
Fair Value | Gross Unrealized Losses | Fair Value | Gross Unrealized Losses | ||||||||||||
(In millions) | |||||||||||||||
Commercial paper, corporate bonds and medium-term notes | $ | 32 | $ | 1 | $ | 32 | $ | 1 | |||||||
Asset-backed and mortgaged-backed securities | 77 | 1 | 77 | 1 | |||||||||||
Total | $ | 109 | $ | 2 | $ | 109 | $ | 2 | |||||||
Unrealized gains and temporary losses on investments classified as available-for-sale are included within accumulated other comprehensive income (loss), net of any related tax effect. Upon realization, those amounts are reclassified from accumulated other comprehensive income (loss) to results of operations.
78
Note 4 | Fair Value Measurements |
Applied’s financial assets are measured and recorded at fair value, except for equity investments held in privately-held companies. These equity investments are generally accounted for under the cost method of accounting and are periodically assessed for other-than-temporary impairment when events or circumstances indicate that an other-than-temporary decline in value may have occurred. Applied’s nonfinancial assets, such as goodwill, intangible assets, and property, plant and equipment, are recorded at cost and are assessed for impairment when events or circumstances indicate that an other-than-temporary decline in value may have occurred.
Fair Value Hierarchy
Applied uses the following fair value hierarchy, which prioritizes the inputs to valuation techniques used to measure fair value into three levels and bases the categorization within the hierarchy upon the lowest level of input that is available and significant to the fair value measurement:
• | Level 1 — Quoted prices in active markets for identical assets or liabilities; |
• | Level 2 — Inputs other than Level 1 that are observable, either directly or indirectly, such as quoted prices for similar assets or liabilities, quoted prices in markets that are not active, or other inputs that are observable or can be corroborated by observable market data for substantially the full term of the assets or liabilities; and |
• | Level 3 — Unobservable inputs that are supported by little or no market activity and that are significant to the fair value of the assets or liabilities. |
Applied’s investments are comprised primarily of debt securities that are classified as available-for-sale and recorded at their fair values. In determining the fair value of investments, Applied uses pricing information from pricing services that value securities based on quoted market prices and models that utilize observable market inputs. In the event a fair value estimate is unavailable from a pricing service, Applied generally obtains non-binding price quotes from brokers. Applied then reviews the information provided by the pricing services or brokers to determine the fair value of its short-term and long-term investments. In addition, to validate pricing information obtained from pricing services, Applied periodically performs supplemental analysis on a sample of securities. Applied reviews any significant unanticipated differences identified through this analysis to determine the appropriate fair value.
Investments with remaining effective maturities of 12 months or less from the balance sheet date are classified as short-term investments. Investments with remaining effective maturities of more than 12 months from the balance sheet date are classified as long-term investments. As of October 28, 2012, substantially all of Applied’s available-for-sale, short-term and long-term investments were recognized at fair value that was determined based upon observable inputs.
Assets and Liabilities Measured at Fair Value on a Recurring Basis
Financial assets and liabilities (excluding cash balances) measured at fair value on a recurring basis are summarized below as of October 28, 2012 and October 30, 2011:
October 28, 2012 | October 30, 2011 | ||||||||||||||||||||||
Level 1 | Level 2 | Total | Level 1 | Level 2 | Total | ||||||||||||||||||
(In millions) | |||||||||||||||||||||||
Assets: | |||||||||||||||||||||||
Money market funds | $ | 483 | $ | — | $ | 483 | $ | 5,663 | $ | — | $ | 5,663 | |||||||||||
U.S. Treasury and agency securities | 128 | 246 | 374 | 109 | 76 | 185 | |||||||||||||||||
Non-U.S. government securities | — | 29 | 29 | — | 40 | 40 | |||||||||||||||||
Municipal securities | — | 431 | 431 | — | 373 | 373 | |||||||||||||||||
Commercial paper, corporate bonds and medium-term notes | — | 384 | 384 | — | 218 | 218 | |||||||||||||||||
Asset-backed and mortgage-backed securities | — | 298 | 298 | — | 309 | 309 | |||||||||||||||||
Publicly traded equity securities | 47 | — | 47 | 27 | — | 27 | |||||||||||||||||
Total | $ | 658 | $ | 1,388 | $ | 2,046 | $ | 5,799 | $ | 1,016 | $ | 6,815 | |||||||||||
Liabilities: | |||||||||||||||||||||||
Deferred compensation | $ | 7 | $ | — | $ | 7 | $ | — | $ | — | $ | — | |||||||||||
Total | $ | 7 | $ | — | $ | 7 | $ | — | $ | — | $ | — | |||||||||||
79
The deferred compensation liability represents our obligation to pay certain benefits under a non-qualified deferred compensation plan. The related investments, held in a rabbi trust, consist of equity securities, primarily mutual funds, and are classified as Level 1 in the valuation hierarchy.
There were no transfers between Level 1 and Level 2 fair value measurements during fiscal 2012 and 2011 and Applied did not have any financial assets measured at fair value on a recurring basis within Level 3 fair value measurements as of October 28, 2012 or October 30, 2011.
Assets and Liabilities Measured at Fair Value on a Non-recurring Basis
Equity investments in privately-held companies are generally accounted for under the cost method of accounting and are periodically assessed for other-than-temporary impairment when an event or circumstance indicates that an other-than-temporary decline in value may have occurred. If Applied determines that an other-than-temporary impairment has occurred, the investment will be written down to its estimated fair value based on available information, such as pricing in recent rounds of financing, current cash positions, earnings and cash flow forecasts, recent operational performance and any other readily available market data. Equity investments in privately-held companies totaled $70 million at October 28, 2012, of which $57 million of investments were accounted for under the cost method of accounting and $13 million of investments had been measured at fair value on a non-recurring basis within Level 3 fair value measurements due to an other-than-temporary decline in value. Equity investments in privately-held companies totaled $62 million at October 30, 2011, of which $40 million of investments were accounted for under the cost method of accounting and $22 million of investments had been measured at fair value on a non-recurring basis within Level 3 fair value measurements due to an other-than-temporary decline in value.
During fiscal 2012, 2011 and 2010, Applied determined that certain of its equity investments held in privately-held companies were other-than-temporarily impaired and, accordingly, recognized impairment charges of $17 million, $3 million and $13 million, respectively.
Other
The carrying amounts of Applied’s financial instruments, including cash and cash equivalents, accounts receivable, notes payable, and accounts payable and accrued expenses, approximate fair value due to the short maturities of these financial instruments. At October 28, 2012, the carrying amount of long-term debt was $1.9 billion and the estimated fair value was $2.3 billion. At October 30, 2011, the carrying amount of long-term debt was $1.9 billion and the estimated fair value was $2.2 billion. The estimated fair value of long-term debt is determined by Level 2 inputs and is based primarily on quoted market prices for the same or similar issues.
Note 5 | Derivative Instruments and Hedging Activities |
Derivative Financial Instruments
Applied conducts business in a number of foreign countries, with certain transactions denominated in local currencies, such as Japanese yen, euro, Israeli shekel, Taiwanese dollar and Swiss franc. Applied uses derivative financial instruments, such as forward exchange contracts and currency option contracts, to hedge certain forecasted foreign currency denominated transactions expected to occur typically within the next 24 months. The purpose of Applied’s foreign currency management is to mitigate the effect of exchange rate fluctuations on certain foreign currency denominated revenues, costs and eventual cash flows. The terms of currency instruments used for hedging purposes are generally consistent with the timing of the transactions being hedged. Applied does not use derivative financial instruments for trading or speculative purposes.
Derivative instruments and hedging activities, including foreign currency exchange contracts, are recognized on the balance sheet at fair value. Changes in the fair value of derivatives that do not qualify for hedge treatment, as well as the ineffective portion of any hedges, are recognized currently in earnings. All of Applied’s derivative financial instruments are recorded at their fair value in other current assets or in accounts payable and accrued expenses.
80
Hedges related to anticipated transactions are designated and documented at the inception of the hedge as cash flow hedges and are typically entered into once per month. Cash flow hedges are evaluated for effectiveness quarterly. The effective portion of the gain or loss on these hedges is reported as a component of accumulated other comprehensive income or loss (AOCI) in stockholders’ equity and is reclassified into earnings when the hedged transaction affects earnings. The majority of the after-tax net income or loss related to derivative instruments included in AOCI at October 28, 2012 is expected to be reclassified into earnings within 12 months. Changes in the fair value of currency forward exchange and option contracts due to changes in time value are excluded from the assessment of effectiveness. Both ineffective hedge amounts and hedge components excluded from the assessment of effectiveness are recognized in earnings. If the transaction being hedged is no longer probable to occur, or if a portion of any derivative is deemed to be ineffective, Applied promptly recognizes the gain or loss on the associated financial instrument in general and administrative expenses. The amount recognized due to discontinuance of cash flow hedges that were probable not to occur by the end of the originally specified time period was not significant for fiscal 2012, 2011 or 2010.
Additionally, forward exchange contracts are generally used to hedge certain foreign currency denominated assets or liabilities. These derivatives are typically entered into once per month and are not designated for hedge accounting treatment. Accordingly, changes in the fair value of these hedges are recorded in earnings to offset the changes in the fair value of the assets or liabilities being hedged.
The effects of derivative instruments in Applied's consolidated financial statements were not material for the periods presented.
Credit Risk Contingent Features
If Applied’s credit rating were to fall below investment grade, it would be in violation of credit risk contingent provisions of the derivative instruments discussed above, and certain counterparties to the derivative instruments could request immediate payment on derivative instruments in net liability positions. The aggregate fair value of all derivative instruments with credit-risk related contingent features that were in a net liability position was immaterial as of October 28, 2012.
Entering into foreign exchange contracts with banks exposes Applied to credit-related losses in the event of the banks’ nonperformance. However, Applied’s exposure is not considered significant.
Note 6 | Accounts Receivable, Net |
Applied has agreements with various financial institutions to sell accounts receivable and discount promissory notes from selected customers. Applied also discounts letters of credit through various financial institutions. Applied sells its accounts receivable without recourse. Details of discounted letters of credit, factored accounts receivable and discounted promissory notes for fiscal years ended October 28, 2012, October 30, 2011 and October 31, 2010 were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Discounted letters of credit | $ | — | $ | 211 | $ | 230 | |||||
Factored accounts receivable and discounted promissory notes | 93 | 80 | 157 | ||||||||
Total | $ | 93 | $ | 291 | $ | 387 | |||||
Financing charges on the sale of receivables and discounting of letters of credit are included in interest expense in the accompanying Consolidated Statements of Operations and were not material for all years presented.
81
Accounts receivable are presented net of allowance for doubtful accounts of $87 million at October 28, 2012 and $73 million at October 30, 2011. Changes in allowance for doubtful accounts were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Beginning balance | $ | 73 | $ | 74 | $ | 67 | |||||
Provision | 14 | 5 | 17 | ||||||||
Recoveries | — | (6 | ) | (10 | ) | ||||||
Ending balance | $ | 87 | $ | 73 | $ | 74 | |||||
Applied sells principally to manufacturers within the semiconductor, display and solar industries. While Applied believes that its allowance for doubtful accounts is adequate and represents Applied’s best estimate as of October 28, 2012, Applied continues to closely monitor customer liquidity and other economic conditions, which may result in changes to Applied’s estimates regarding collectability.
Note 7 | Balance Sheet Detail |
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Inventories | |||||||
Customer service spares | $ | 312 | $ | 328 | |||
Raw materials | 331 | 407 | |||||
Work-in-process | 234 | 336 | |||||
Finished goods | 395 | 630 | |||||
$ | 1,272 | $ | 1,701 | ||||
Included in finished goods inventory is $60 million at October 28, 2012, and $224 million at October 30, 2011, of newly-introduced systems at customer locations where the sales transaction did not meet Applied’s revenue recognition criteria as set forth in Note 1. Finished goods inventory includes $176 million and $140 million of evaluation inventory at October 28, 2012 and October 30, 2011, respectively.
Useful Life | October 28, 2012 | October 30, 2011 | |||||||
(In years) | (In millions) | ||||||||
Property, Plant and Equipment, Net | |||||||||
Land and improvements | $ | 169 | $ | 163 | |||||
Buildings and improvements | 3-30 | 1,196 | 1,155 | ||||||
Demonstration and manufacturing equipment | 3-5 | 760 | 686 | ||||||
Furniture, fixtures and other equipment | 3-15 | 734 | 722 | ||||||
Construction in progress | 58 | 12 | |||||||
Gross property, plant and equipment | 2,917 | 2,738 | |||||||
Accumulated depreciation | (2,007 | ) | (1,872 | ) | |||||
$ | 910 | $ | 866 | ||||||
82
During fiscal 2012, fixed asset impairment charges of $20 million were recorded in relation to the Energy and Environmental Solutions segment restructuring plan, as discussed in Note 11, Restructuring Charges and Asset Impairments.
In fiscal 2011, Applied received $99 million in proceeds from the sale of two properties located in North America and recognized a net gain of $27 million on the transactions. Applied also completed the divestiture of certain assets held for sale for proceeds of $31 million.
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Accounts Payable and Accrued Expenses | |||||||
Accounts payable | $ | 396 | $ | 484 | |||
Compensation and employee benefits | 426 | 455 | |||||
Warranty | 119 | 168 | |||||
Dividends payable | 108 | 104 | |||||
Other accrued taxes | 18 | 81 | |||||
Interest payable | 30 | 31 | |||||
Restructuring reserve | 133 | 11 | |||||
Other | 206 | 186 | |||||
$ | 1,436 | $ | 1,520 | ||||
Other accrued expenses included acquisition obligation for untendered Varian shares of $12 million as of October 28, 2012.
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Customer Deposits and Deferred Revenue | |||||||
Customer deposits | $ | 143 | $ | 249 | |||
Deferred revenue | 612 | 867 | |||||
$ | 755 | $ | 1,116 | ||||
Applied typically receives deposits on future deliverables from customers in the Energy and Environmental Solutions and Display segments. In certain instances, customer deposits may be received from customers in the Applied Global Services segment.
83
Note 8 | Business Combinations |
Varian Semiconductor Equipment Associates, Inc.
On November 10, 2011, Applied completed the acquisition of Varian, a public company manufacturer of semiconductor processing equipment and the leading supplier of ion implantation equipment used by chip makers globally, for an aggregate purchase price of $4.2 billion in cash, net of cash acquired and assumed earned equity awards of $27 million, pursuant to an Agreement and Plan of Merger (the Merger Agreement) dated as of May 3, 2011. Applied's primary reasons for this acquisition were to complement existing product offerings and to provide opportunities for future growth. Varian designs, markets, manufactures and services ion implantation systems. These systems are primarily used in the manufacture of transistors, which are a basic building block of integrated circuits (ICs) or microchips. Ion implantation systems create a beam of electrically charged particles called ions, which are implanted into transistor structures at precise locations and depths, changing the electrical properties of the semiconductor device. These implantation systems may also be used in other areas of IC manufacture for modifying the material properties of the semiconductor devices, as well as in manufacturing crystalline-silicon solar cells.
Applied allocated the purchase price of this acquisition to tangible and identifiable intangible assets acquired and liabilities assumed, based on their estimated fair values. These estimates were determined through established and generally accepted valuation techniques. Applied recorded $2.6 billion in goodwill, which represented the excess of the purchase price over the aggregate estimated fair values of the assets acquired and liabilities assumed in the acquisition. Of this amount, $1.8 billion of goodwill was allocated to the Silicon Systems Group segment, and the remainder was allocated to the Applied Global Services segment. Goodwill associated with the acquisition was primarily attributable to the opportunities from the addition of Varian's product portfolio which complement Applied's Silicon Systems Group's suite of products, including providing integrated process solutions to customers. Goodwill is not deductible for tax purposes. During the third quarter of fiscal 2012, Applied completed the purchase price allocation for the Varian acquisition.
The following table summarizes the allocation of the assets acquired and liabilities assumed at the acquisition date:
Acquisition 2012 | |||
Estimated Fair Values | (In millions) | ||
Cash and cash equivalents | $ | 632 | |
Short-term investments | 56 | ||
Accounts receivable, net | 194 | ||
Inventories | 250 | ||
Deferred income taxes and other current assets | 66 | ||
Long-term investments | 62 | ||
Property and equipment, net | 104 | ||
Goodwill | 2,604 | ||
Purchased intangible assets | 1,365 | ||
Other assets | 10 | ||
Total assets acquired | 5,343 | ||
Accounts payable and accrued expenses | (134 | ) | |
Customer deposits and deferred revenue | (52 | ) | |
Income taxes payable | (60 | ) | |
Deferred income taxes | (211 | ) | |
Other liabilities | (25 | ) | |
Total liabilities assumed | (482 | ) | |
Purchase price allocated | $ | 4,861 | |
84
The following table presents details of the purchase price allocated to purchased intangible assets of Varian at the acquisition date:
Useful Life | Purchased Intangible Assets 2012 | ||||
(In years) | (In millions) | ||||
Developed technology | 1 - 7 | $ | 987 | ||
Customer relationships | 15 | 150 | |||
In-process technology | 142 | ||||
Patents and trademarks | 10 | 69 | |||
Backlog | 1 | 7 | |||
Covenant not to compete | 2 | 10 | |||
Total purchased intangible assets | $ | 1,365 | |||
The results of operations of Varian are included in Applied's consolidated results of operations, primarily in the results for the Silicon Systems Group and Applied Global Services segments, beginning in the first quarter of fiscal 2012. For the fiscal year ended October 28, 2012, net sales of approximately $1.0 billion and operating loss of approximately $148 million attributable to Varian were included in the consolidated results of operations. For the year ended October 28, 2012, results of operations included charges of $332 million, attributable to inventory fair value adjustments on products sold, amortization of purchased intangible assets, share-based compensation associated with accelerated vesting, deal costs and other integration costs associated with the acquisition. Of these amounts, deal costs and other acquisition-related costs of $45 million were not allocated to the segments for the year ended October 28, 2012. Deal costs are included in selling, general and administrative expenses in Applied's consolidated results of operations.
The following unaudited pro forma consolidated results of operations assume the acquisition was completed as of the beginning of fiscal 2011. The pro forma consolidated results of operations for the year ended October 30, 2011 combine the results of Applied for the year ended October 30, 2011, with the results of Varian for the year ended September 30, 2011.
The pro forma results below include adjustments related to the purchase price allocation and financing of the acquisition, primarily to increase depreciation and amortization with the higher values of property, plant and equipment and identifiable intangible assets, to increase interest expense for the additional debt incurred to complete the acquisition, and to reflect the related income tax effect. The pro forma results for the fiscal year ended October 30, 2011 include costs of $128 million, which reduced net income due to inventory fair value adjustments on products sold, share-based compensation associated with accelerated vesting and acquisition-related costs, which are not expected to occur in future quarters. The pro forma information does not necessarily reflect the actual results of operations had the acquisition been consummated at the beginning of the fiscal reporting period indicated nor is it necessarily indicative of future operating results. The pro forma information does not include any potential revenue enhancements, cost synergies or other operating efficiencies that could result from the acquisition.
2012 | 2011 | ||||||
(In millions, except per share amounts) | |||||||
Net sales | $ | 8,719 | $ | 11,734 | |||
Net income | $ | 167 | $ | 1,862 | |||
Basic earnings per share | $ | 0.13 | $ | 1.41 | |||
Diluted earnings per share | $ | 0.13 | $ | 1.40 | |||
85
Semitool, Inc. and Advent Solar
On December 21, 2009, Applied acquired Semitool, Inc. (Semitool), a public company based in the state of Montana, for a purchase price of $323 million in cash, net of cash acquired, pursuant to a tender offer and subsequent short-form merger. The acquired business is a leading supplier of electrochemical plating and wafer surface preparation equipment used by semiconductor packaging and manufacturing companies globally. Applied’s primary reasons for this acquisition were to complement its existing product offerings and to provide opportunities for future growth. The acquired business is included in results for the Silicon Systems Group segment.
In November 2009, Applied acquired substantially all the assets, including the intellectual property, of Advent Solar, a developer of advanced technology for c-Si solar photovoltaic cells and modules (PVs), for a purchase price of $14 million.
Applied allocated the purchase price of each of these acquisitions to tangible assets, liabilities and identifiable intangible assets acquired, based on their estimated fair values. The excess of purchase price over the aggregate fair values was recorded as goodwill. The fair value assigned to identifiable intangible assets acquired was based on estimates and assumptions made by management. These estimates were determined through established and generally accepted valuation techniques. Applied calculated the fair value of the tangible and intangible assets acquired to allocate the purchase prices at the respective acquisition dates. Based upon these calculations, the purchase prices for the above acquisitions were allocated as follows:
Estimated Fair Values | Acquisitions 2010 | ||
(In millions) | |||
Fair value of net tangible assets acquired | $ | 117 | |
Goodwill | 165 | ||
Purchased intangible assets | 93 | ||
Purchase price allocated | $ | 375 | |
The results of operations of Semitool and Advent Solar were not material in relation to those of Applied.
86
Note 9 | Goodwill, Purchased Technology and Other Intangible Assets |
Goodwill and Purchased Intangible Assets
Applied’s methodology for allocating the purchase price relating to purchase acquisitions is determined through established and generally accepted valuation techniques. Goodwill is measured as the excess of the cost of the acquisition over the sum of the amounts assigned to tangible and identifiable intangible assets acquired less liabilities assumed. Applied assigns assets acquired (including goodwill) and liabilities assumed to one or more reporting units as of the date of acquisition. Typically, acquisitions relate to a single reporting unit and thus do not require the allocation of goodwill to multiple reporting units. If the products obtained in an acquisition are assigned to multiple reporting units, the goodwill is distributed to the respective reporting units as part of the purchase price allocation process.
Goodwill and purchased intangible assets with indefinite useful lives are not amortized, but are reviewed for impairment annually during the fourth quarter of each fiscal year and whenever events or changes in circumstances indicate that the carrying value of an asset may not be recoverable. The process of evaluating the potential impairment of goodwill and intangible assets requires significant judgment, especially in emerging markets. Applied regularly monitors current business conditions and other factors including, but not limited to, adverse industry or economic trends, restructuring actions and lower projections of profitability that may impact future operating results.
In fiscal 2011, Applied adopted authoritative guidance which allows entities to use a qualitative approach to test goodwill for impairment. This authoritative guidance permits an entity to first perform a qualitative assessment to determine whether it is more likely than not that the fair value of a reporting unit is less than its carrying value. If it is concluded that this is the case, it is necessary to perform the two-step goodwill impairment test. Otherwise, the two-step goodwill impairment test is not required. Under the two-step goodwill impairment test, Applied would in the first step compare the estimated fair value of each reporting unit to its carrying value. Applied’s reporting units are consistent with the reportable segments identified in Note 16, Industry Segment Operations, which are based on the manner in which Applied operates its business and the nature of those operations. Applied determines the fair value of each of its reporting units based on a weighting of income and market approaches. Under the income approach, Applied calculates the fair value of a reporting unit based on the present value of estimated future cash flows. Estimated future cash flows will be impacted by a number of factors including anticipated future operating results, estimated cost of capital and/or discount rates. Under the market approach, Applied estimates the fair value based on market multiples of revenue or earnings for comparable companies, as appropriate. If the fair value of the reporting unit exceeds the carrying value of the net assets assigned to that unit, goodwill is not impaired and no further testing is performed. If the carrying value of the net assets assigned to the reporting unit exceeds the fair value of the reporting unit, then Applied would perform the second step of the impairment test in order to determine the implied fair value of the reporting unit’s goodwill. In the second step, Applied would then allocate the reporting unit's fair value to all of the assets and liabilities of that unit including the fair value of unrecorded intangible assets, in a hypothetical analysis, as if Applied had acquired the reporting unit in a business combination, with the fair value of the reporting unit being the “purchase price.” The excess of the “purchase price” over the carrying amounts assigned to assets and liabilities represents the implied fair value of goodwill. If Applied determined that the carrying value of a reporting unit’s goodwill exceeded its implied fair value, Applied would record an impairment charge equal to the difference.
In the fourth quarter of fiscal 2012, Applied performed a qualitative assessment to test goodwill for all reporting units for impairment and determined that it was more likely than not that each of the Silicon Systems Group, Applied Global Services, and Display reporting units' fair values exceeded its respective carrying values and that it was not necessary to perform the two-step goodwill impairment test for these reporting units.
Applied tested goodwill of the Energy and Environmental Solutions reporting unit for potential impairment during the second quarter of fiscal 2012 in light of second quarter developments that included current industry trends, financial performance, weaker short-term outlooks, and other adverse operating conditions within the solar industry. The results of the first step of the impairment test indicated that goodwill of the Energy and Environmental Solutions reporting unit was not impaired at that time. During the third quarter of fiscal 2012, Applied noted no events that would significantly impact the results of the impairment test performed in the second quarter of fiscal 2012. However, during the fourth quarter, the solar industry faced increasing challenges of solar panel manufacturing overcapacity and weaker operating performance and outlook, leading to the deterioration of the solar equipment market and Applied's customers' financial condition, coupled with lower market valuations. Taking these factors into account, Applied reassessed its financial outlook of the Energy and Environmental Solutions reporting unit, and consequently reevaluated the recoverability of Energy and Environmental Solutions' goodwill and performed the two-step impairment test.
87
Applied utilized an equal weighting of both the discounted cash flow method of the income approach and the guideline company method of the market approach to estimate the fair value of the Energy and Environmental Solutions reporting unit. The estimates used in the impairment testing were consistent with the discrete forecasts that Applied uses to manage its business, and considered the significant developments that occurred during the quarter. Under the discounted cash flow method, cash flows beyond the discrete forecasts were estimated using a terminal growth rate, which considered the long-term earnings growth rate specific to the Energy and Environmental Solutions reporting unit. The estimated future cash flows were discounted to present value using a discount rate that was the value-weighted average of the reporting unit's estimated cost of equity and debt derived using both known and estimated market metrics, and was adjusted to reflect risk factors that considered both the timing and risks associated with the estimated cash flows. The tax rate used in the discounted cash flow method reflected the international structure currently in place, which is consistent with the market participant perspective. Under the guideline company method, market multiples were applied to forecasted revenues and earnings before interest, taxes, depreciation and amortization. The market multiples used were consistent with comparable publicly-traded companies.
Applied then allocated the fair value of the reporting unit to all of the assets and liabilities of the Energy and Environmental Solutions reporting unit. Based on Applied's analyses, the implied fair value of goodwill was substantially lower than the carrying value of goodwill for the Energy and Environmental Solutions reporting unit. As a result, Applied recorded a $421 million goodwill impairment charge in the fourth quarter of fiscal 2012.
The evaluation of goodwill for impairment requires the exercise of significant judgment. In the event of future changes in business conditions, Applied will be required to reassess and update its forecasts and estimates used in future impairment analyses. If the results of these analyses are lower than current estimates, a material impairment charge may result at that time.
A summary of Applied's purchased technology and intangible assets is set forth below:
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Purchased technology, net | $ | 945 | $ | 127 | |||
Intangible assets - finite-lived, net | 268 | 84 | |||||
Intangible assets - indefinite-lived | 142 | — | |||||
Total | $ | 1,355 | $ | 211 | |||
Details of goodwill and other indefinite-lived intangible assets were as follows:
October 28, 2012 | October 30, 2011 | ||||||||||||||||||||||
Goodwill | Other Intangible Assets | Total | Goodwill | Other Intangible Assets | Total | ||||||||||||||||||
(In millions) | |||||||||||||||||||||||
Silicon Systems Group | $ | 2,151 | $ | 142 | $ | 2,293 | $ | 381 | $ | — | $ | 381 | |||||||||||
Applied Global Services | 1,027 | — | 1,027 | 193 | — | 193 | |||||||||||||||||
Display | 116 | — | 116 | 116 | — | 116 | |||||||||||||||||
Energy and Environmental Solutions | 224 | — | 224 | 645 | — | 645 | |||||||||||||||||
Carrying amount | $ | 3,518 | $ | 142 | $ | 3,660 | $ | 1,335 | $ | — | $ | 1,335 | |||||||||||
During fiscal 2012, goodwill and other indefinite-lived intangible assets increased by $2.3 billion due to the acquisition of Varian as discussed in Note 8, Business Combinations, partially offset by the impairment of goodwill recorded in the fourth quarter of fiscal 2012.
In fiscal 2011, Applied negotiated the divestiture of certain assets and determined the trade name, which was included in assets held for sale, to be impaired, and, accordingly, recorded $18 million of impairment charges.
Other intangible assets that are not subject to amortization consist primarily of in-process technology, which will be subject to amortization upon commercialization. The fair value assigned to in-process technology was determined using the income approach based on estimates and judgments regarding risks inherent in the development process, including the likelihood of achieving technological success and market acceptance. If an in-process technology project is abandoned, the acquired technology attributable to the project will be written-off.
88
Finite-Lived Purchased Intangible Assets
Applied amortizes purchased intangible assets with finite lives using the straight-line method over the estimated economic lives of the assets, ranging from 1 to 15 years.
Applied evaluates long-lived assets for impairment whenever events or changes in circumstances indicate the carrying value of an asset group may not be recoverable. Applied assesses the fair value of the assets based on the amount of the undiscounted future cash flow that the assets are expected to generate and recognizes an impairment loss when estimated undiscounted future cash flow expected to result from the use of the asset, plus net proceeds expected from disposition of the asset, if any, are less than the carrying value of the asset. When Applied identifies an impairment, Applied reduces the carrying value of the group of assets to comparable market values, when available and appropriate, or to its estimated fair value based on a discounted cash flow approach.
Intangible assets, such as purchased technology, are generally recorded in connection with a business acquisition. The value assigned to intangible assets is usually based on estimates and judgments regarding expectations for the success and life cycle of products and technology acquired. Applied evaluates the useful lives of its intangible assets each reporting period to determine whether events and circumstances require revising the remaining period of amortization. In addition, Applied reviews intangible assets for impairment when events or changes in circumstances indicate their carrying value may not be recoverable. Management considers such indicators as significant differences in actual product acceptance from the estimates, changes in the competitive and economic environment, technological advances, and changes in cost structure. In the fourth quarter of fiscal 2012, Applied performed an impairment analysis on the carrying value of its intangible assets and determined that there was no impairment.
Details of finite-lived intangible assets were as follows:
October 28, 2012 | October 30, 2011 | ||||||||||||||||||||||
Purchased Technology | Other Intangible Assets | Total | Purchased Technology | Other Intangible Assets | Total | ||||||||||||||||||
(In millions) | |||||||||||||||||||||||
Gross carrying amount: | |||||||||||||||||||||||
Silicon Systems Group | $ | 1,300 | $ | 252 | $ | 1,552 | $ | 310 | $ | 20 | $ | 330 | |||||||||||
Applied Global Services | 28 | 44 | 72 | 28 | 40 | 68 | |||||||||||||||||
Display | 110 | 33 | 143 | 110 | 33 | 143 | |||||||||||||||||
Energy and Environmental Solutions | 105 | 232 | 337 | 105 | 232 | 337 | |||||||||||||||||
Gross carrying amount | $ | 1,543 | $ | 561 | $ | 2,104 | $ | 553 | $ | 325 | $ | 878 | |||||||||||
Accumulated amortization: | |||||||||||||||||||||||
Silicon Systems Group | $ | (411 | ) | $ | (36 | ) | $ | (447 | ) | $ | (256 | ) | $ | (8 | ) | $ | (264 | ) | |||||
Applied Global Services | (22 | ) | (39 | ) | (61 | ) | (20 | ) | (31 | ) | (51 | ) | |||||||||||
Display | (106 | ) | (27 | ) | (133 | ) | (102 | ) | (25 | ) | (127 | ) | |||||||||||
Energy and Environmental Solutions | (59 | ) | (191 | ) | (250 | ) | (48 | ) | (177 | ) | (225 | ) | |||||||||||
Accumulated amortization | $ | (598 | ) | $ | (293 | ) | $ | (891 | ) | $ | (426 | ) | $ | (241 | ) | $ | (667 | ) | |||||
Carrying amount | $ | 945 | $ | 268 | $ | 1,213 | $ | 127 | $ | 84 | $ | 211 | |||||||||||
During fiscal 2012, the change in gross carrying amount of the finite-lived intangible assets was $1.2 billion due to the acquisition of Varian as discussed in Note 8, Business Combinations.
In fiscal 2011, Applied entered into an agreement to divest certain assets held in the Applied Global Services segment and determined certain identified purchased technology and finite-lived intangible assets, which were included in the assets held for sale, to be impaired, and, accordingly, recorded $6 million of impairment charges. The gross carrying amount of the divested amortized intangible assets was approximately $25 million. In fiscal 2010, Applied incurred intangible impairment charges of $31 million related to a plan to restructure its Energy and Environmental Solutions segment.
89
Details of amortization expense by segment for fiscal 2012, 2011 and 2010 were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Silicon Systems Group | $ | 183 | $ | 13 | $ | 21 | |||||
Applied Global Services | 9 | 7 | 9 | ||||||||
Display | 7 | 8 | 8 | ||||||||
Energy and Environmental Solutions | 25 | 24 | 44 | ||||||||
Total | $ | 224 | $ | 52 | $ | 82 | |||||
For fiscal 2012, 2011 and 2010, amortization expense was charged to the following categories:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Cost of products sold | $ | 185 | $ | 36 | $ | 63 | |||||
Research, development and engineering | 1 | — | 1 | ||||||||
Selling, general and administrative | 38 | 16 | 18 | ||||||||
Total | $ | 224 | $ | 52 | $ | 82 | |||||
As of October 28, 2012, future estimated amortization expense is expected to be as follows:
Amortization Expense | |||
(In millions) | |||
2013 | 214 | ||
2014 | 198 | ||
2015 | 184 | ||
2016 | 175 | ||
2017 | 171 | ||
Thereafter | 271 | ||
Total | $ | 1,213 | |
90
Note 10 | Borrowing Facilities and Long-Term Debt |
Applied has credit facilities for unsecured borrowings in various currencies of up to $1.6 billion, of which $1.5 billion is comprised of a committed revolving credit agreement with a group of banks that was extended by one year in May 2012 and is scheduled to expire in May 2016. This agreement provides for borrowings in United States dollars at interest rates keyed to one of the two rates selected by Applied for each advance and includes financial and other covenants with which Applied was in compliance at October 28, 2012. Remaining credit facilities in the amount of approximately $100 million are with Japanese banks. Applied’s ability to borrow under these facilities is subject to bank approval at the time of the borrowing request, and any advances will be at rates indexed to the banks’ prime reference rate denominated in Japanese yen. No amounts were outstanding under any of these facilities at both October 28, 2012 and October 30, 2011 and Applied has not utilized these credit facilities.
In June 2011, Applied issued senior unsecured notes due 2016, 2021, and 2041 in the aggregate principal amount of $1.75 billion (collectively, the Notes) pursuant to the terms of an indenture and first supplemental indenture (collectively, the Indenture). The Indenture contains certain covenants with which Applied was in compliance at October 28, 2012. Applied used the net proceeds of the Notes to fund a portion of the consideration payable in, and certain costs associated with, Applied’s acquisition of Varian.
Long-term debt outstanding was as follows:
Principal Amount | |||||||||||
October 28, 2012 | October 30, 2011 | Effective Interest Rate | Interest Pay Dates | ||||||||
(In millions) | |||||||||||
2.650% Senior Notes Due 2016 | $ | 400 | $ | 400 | 2.666% | June 15, December 15 | |||||
7.125% Senior Notes Due 2017 | 200 | 200 | 7.190% | April 15, October 15 | |||||||
4.300% Senior Notes Due 2021 | 750 | 750 | 4.326% | June 15, December 15 | |||||||
5.850% Senior Notes Due 2041 | 600 | 600 | 5.879% | June 15, December 15 | |||||||
Other debt | — | 1 | |||||||||
1,950 | 1,951 | ||||||||||
Total unamortized discount | (4 | ) | (4 | ) | |||||||
Total long-term debt | $ | 1,946 | $ | 1,947 | |||||||
Applied has debt agreements that contain financial and other covenants. These covenants require Applied to maintain certain minimum financial ratios. At October 28, 2012, Applied was in compliance with all such covenants.
91
Note 11 | Restructuring Charges and Asset Impairments |
From time to time, Applied initiates restructuring activities to appropriately align its cost structure relative to prevailing economic and industry conditions and associated customer demand as well as in connection with certain acquisitions. Costs associated with restructuring actions can include termination benefits and related charges in addition to facility closure, contract termination and other related activities.
Global Restructuring Plan
On October 3, 2012, Applied announced a restructuring plan (the 2012 Global Restructuring Plan) to realign its global workforce and enhance its ability to invest for growth. Under this plan, Applied implemented a voluntary retirement program and other workforce reduction actions that are expected to affect approximately 900 to 1,300 positions, or 6 percent to 9 percent of its global workforce. The voluntary retirement program was available to certain U.S. employees who met minimum age and length of service requirements, as well as other business-specific criteria. In addition, Applied implemented other workforce reduction actions globally across multiple business segments and functions, the extent of which depended on the number of employees who participated in the voluntary retirement program and other considerations. Applied expects to substantially complete this plan by the end of the third quarter of fiscal 2013, depending on local legal requirements.
In connection with the 2012 Global Restructuring Plan, Applied expects to incur aggregate pre-tax restructuring charges comprised of severance and other termination benefits in the range of $180 million to $230 million, substantially all of which will be paid in cash. Applied began recording these restructuring charges in the fourth quarter of fiscal 2012 and expects that the remainder will be recorded during fiscal 2013.
During the fourth quarter of fiscal 2012, Applied recognized $106 million of severance and other employee-related costs in connection with the 2012 Global Restructuring Plan, all of which remain outstanding as of October 28, 2012. These costs were not allocated to the segments and were based on an estimated number of employees who are impacted by the workforce reduction. Incremental charges will coincide with the acceptances of the voluntary retirement plan in the first quarter of fiscal 2013.
Due to the nature of this plan, the costs and charges incurred are subject to subsequent adjustments due to multiple assumptions and estimates used, including with respect to: the number and job levels of employees who participate in the voluntary retirement program, the nature and extent of additional workforce actions required to complete this plan, and other factors.
2012 EES Restructuring Plan
On May 10, 2012, Applied announced a plan (the 2012 EES Restructuring Plan) to restructure its Energy and Environmental Solutions segment in light of challenging industry conditions affecting the solar photovoltaic and light-emitting diode (LED) equipment markets. As of October 28, 2012, as part of the 2012 EES Restructuring Plan, Applied was still in the process of relocating certain manufacturing, business operations and customer support functions of its precision wafering systems business and has ceased LED development activities. The 2012 EES Restructuring Plan also impacted certain LED support activities in the Applied Global Services segment. The total estimated pre-tax cost of implementing this plan is expected to be in the range of approximately $70 million to $100 million, which will be incurred over a period of 12 to 18 months beginning in the third quarter of fiscal 2012, and reported primarily in the Energy and Environmental Solutions segment. This estimate consists of: (i) up to $30 million in fixed asset impairment charges; (ii) up to $15 million of inventory-related charges; (iii) up to $15 million in charges arising from lease terminations and other obligations, and (iv) up to $40 million in severance and other employee-related costs. The 2012 EES Restructuring Plan impacted up to approximately 250 positions globally. During fiscal 2012, Applied recognized $48 million of restructuring and asset impairment charges in connection with the 2012 EES Restructuring Plan. These costs were primarily reported in the Energy and Environmental Solutions and Applied Global Services segments. As of October 28, 2012, remaining severance accrual associated with restructuring reserves under this program was $16 million.
Integration of Varian and Prior Year Restructuring Plans
During fiscal 2012, Applied also recognized $14 million of severance and other employee-related costs in connection with the integration of Varian. These costs were reported in the Silicon Systems Group and Applied Global Services segments. As of October 28, 2012, remaining severance accrual associated with restructuring reserves under this program was $5 million.
On July 21, 2010, Applied announced a plan (the 2010 EES Restructuring Plan) to restructure its Energy and Environmental Solutions segment, which was expected to impact between 400 to 500 positions globally. During fiscal 2011, as a result of changes in Applied’s operating environment and business requirements, Applied revised its workforce reduction under this program to approximately 200 positions and recorded a favorable adjustment of $36 million. During fiscal 2010, Applied incurred employee severance charges of $45 million associated with this program. As of October 28, 2012, the 2010 EES Restructuring Plan was complete.
92
On November 11, 2009, Applied announced a restructuring program (the 2010 Global Restructuring Plan) to reduce its global workforce as of October 25, 2009. During fiscal 2010, Applied recorded restructuring charges of $84 million under this program. The improved economic environment continued in the first half of fiscal 2011, and as a result Applied recorded an additional favorable adjustment of $19 million. As of October 28, 2012, the 2010 Global Restructuring Plan was complete.
On November 12, 2008, Applied initiated a restructuring program to reduce its global workforce by approximately 2,000 positions. During fiscal 2011, Applied favorably adjusted the severance accrual associated with this program by $5 million. As of October 28, 2012, this program was complete.
The following table summarizes major components of the restructuring and asset impairment charges during fiscal 2012, 2011 and 2010:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
2012 Global Restructuring Plan | |||||||||||
Severance and other employee-related costs | $ | 106 | $ | — | $ | — | |||||
2012 EES Restructuring Plan | |||||||||||
Severance and other employee-related costs | 27 | — | — | ||||||||
Contract cancellation and other costs | 1 | — | — | ||||||||
Asset impairments | 20 | — | — | ||||||||
2010 EES Restructuring Plan | |||||||||||
Severance and other employee-related costs | — | (36 | ) | 45 | |||||||
Asset impairments | — | — | 108 | ||||||||
2010 Global Restructuring Plan | |||||||||||
Severance and other employee-related costs | — | (19 | ) | 84 | |||||||
Others | |||||||||||
Severance and other employee-related costs | 14 | (5 | ) | — | |||||||
Non-Restructuring Related | |||||||||||
Asset impairments | — | 30 | 9 | ||||||||
$ | 168 | $ | (30 | ) | $ | 246 | |||||
In fiscal 2012, Applied incurred inventory-related charges of $13 million associated with 2012 EES Restructuring Plan that were recorded in cost of products sold and reported in the Energy and Environmental Solutions segment.
In fiscal 2011, Applied incurred impairment charges of $24 million associated with certain intangible assets and purchased technology. See Note 9, Goodwill, Purchased Technology and Other Intangible Assets. Applied also incurred asset impairment charges of $3 million related to certain fixed assets.
In fiscal 2010, Applied recorded asset impairment charges of $108 million related to the 2010 EES Restructuring Plan and $9 million to write down a facility to its estimated fair value based on prices for comparable local properties. In fiscal 2011, Applied recorded additional impairment charges of $3 million related to this facility. In addition, during fiscal 2010, inventory-related charges of $330 million were recorded in costs of products sold associated with SunFabTM thin film solar equipment.
93
Restructuring and asset impairment charges were recorded as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Silicon Systems Group | $ | 4 | $ | — | $ | — | |||||
Applied Global Services | 15 | 24 | — | ||||||||
Energy and Environmental Solutions | 38 | (33 | ) | 153 | |||||||
Corporate Unallocated | 111 | (21 | ) | 93 | |||||||
Total | $ | 168 | $ | (30 | ) | $ | 246 | ||||
Changes in restructuring reserves for fiscal 2012, 2011, and 2010 related to other restructuring plans and facilities realignment programs initiated in prior periods were as follows:
2012 Global Restructuring Plan | 2012 EES Restructuring Plan | 2010 EES Restructuring Plan | 2010 Global Restructuring Plan | Others | |||||||||||||||||||||||||||
Severance and Other Employee-Related Costs | Severance and Other Employee-Related Costs | Contract Cancellation and Other Costs | Severance and Other Employee-Related Costs | Severance and Other Employee-Related Costs | Severance and Other Employee-Related Costs | Contract Cancellation and Other Costs | Total | ||||||||||||||||||||||||
(In millions) | |||||||||||||||||||||||||||||||
Balance, October 25, 2009 | $ | — | $ | — | $ | — | $ | — | $ | — | $ | 26 | $ | 5 | $ | 31 | |||||||||||||||
Provision for restructuring reserves | — | — | — | 45 | 104 | — | — | 149 | |||||||||||||||||||||||
Consumption of reserves | — | — | — | (3 | ) | (33 | ) | (20 | ) | — | (56 | ) | |||||||||||||||||||
Adjustment of restructuring reserves | — | — | — | — | (20 | ) | — | — | (20 | ) | |||||||||||||||||||||
Balance, October 31, 2010 | $ | — | $ | — | $ | — | $ | 42 | $ | 51 | $ | 6 | $ | 5 | $ | 104 | |||||||||||||||
Consumption of reserves | — | — | — | (5 | ) | (27 | ) | (1 | ) | — | (33 | ) | |||||||||||||||||||
Adjustment of restructuring reserves | — | — | — | (36 | ) | (19 | ) | (5 | ) | — | (60 | ) | |||||||||||||||||||
Balance, October 30, 2011 | $ | — | $ | — | $ | — | $ | 1 | $ | 5 | $ | — | $ | 5 | $ | 11 | |||||||||||||||
Provision for restructuring reserves | 106 | 27 | 1 | — | — | 14 | — | 148 | |||||||||||||||||||||||
Consumption of reserves | — | (11 | ) | — | (1 | ) | (5 | ) | (9 | ) | — | (26 | ) | ||||||||||||||||||
Balance, October 28, 2012 | $ | 106 | 16 | 1 | — | — | $ | 5 | $ | 5 | $ | 133 | |||||||||||||||||||
94
Note 12 | Stockholders’ Equity, Comprehensive Income and Share-Based Compensation |
Accumulated Other Comprehensive Income (Loss)
Components of accumulated other comprehensive income (loss), on an after-tax basis, were as follows:
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Unrealized gain (loss) on investments, net | $ | 16 | $ | 17 | |||
Unrealized gain (loss) on derivative instruments qualifying as cash flow hedges | 1 | — | |||||
Pension liability | (90 | ) | (25 | ) | |||
Cumulative translation adjustments | 12 | 14 | |||||
$ | (61 | ) | $ | 6 | |||
The increase in accumulated other comprehensive income (loss) associated with pension liability amounted to $65 million, net of income tax effect of $23 million, and was primarily a result of lower discount rates used to determine the benefit obligation, taking into account prevailing interest rates.
Stock Repurchase Program
On March 5, 2012, Applied's Board of Directors approved a new stock repurchase program authorizing up to $3.0 billion in repurchases over the next three years ending in March 2015. Under this authorization, Applied purchases shares of its common stock under a systematic stock repurchase program and may also make supplemental stock repurchases from time to time, depending on market conditions, stock price and other factors. Applied's stock repurchase program authorized on March 8, 2010 was terminated concurrent with the start of the new repurchase program. At October 28, 2012, $1.8 billion remains available for future stock repurchases under the new repurchase program.
The following table summarizes Applied’s stock repurchases:
2012 | 2011 | 2010 | |||||||||
(In millions, except per share amounts) | |||||||||||
Shares of common stock repurchased | 126 | 36 | 29 | ||||||||
Cost of stock repurchased | $ | 1,416 | $ | 468 | $ | 350 | |||||
Average price paid per share | $ | 11.22 | $ | 12.88 | $ | 12.15 | |||||
Applied records treasury stock purchases under the cost method using the first-in, first-out (FIFO) method. Upon reissuance of treasury stock, amounts in excess of the acquisition cost are credited to additional paid in capital. If Applied reissues treasury stock at an amount below its acquisition cost and additional paid in capital associated with prior treasury stock transactions is insufficient to cover the difference between the acquisition cost and the reissue price, this difference is recorded against retained earnings.
95
Dividends
During fiscal 2012, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.09 per share each and one quarterly cash dividend in the amount of $0.08 per share. During fiscal 2011, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.08 per share each and one quarterly cash dividend in the amount of $0.07 per share. During fiscal 2010, Applied’s Board of Directors declared three quarterly cash dividends in the amount of $0.07 per share each and one quarterly cash dividend in the amount of $0.06. Dividends declared during fiscal 2012, 2011 and 2010 amounted to $438 million, $408 million and $361 million, respectively. Applied currently anticipates that cash dividends will continue to be paid on a quarterly basis, although the declaration of any future cash dividend is at the discretion of the Board of Directors and will depend on Applied’s financial condition, results of operations, capital requirements, business conditions and other factors, as well as a determination by the Board of Directors that cash dividends are in the best interests of Applied’s stockholders.
Share-Based Compensation
Applied has adopted stock plans that permit grants to employees of share-based awards, including stock options, restricted stock, restricted stock units, performance shares and performance units. In addition, the Employee Stock Incentive Plan provides for the automatic grant of restricted stock units to non-employee directors and permits the grant of share-based awards to non-employee directors and consultants. Applied also has two Employee Stock Purchase Plans, one generally for United States employees and a second for employees of international subsidiaries (collectively, ESPP), which enable eligible employees to purchase Applied common stock.
During fiscal 2012, 2011, and 2010, Applied recognized share-based compensation expense related to stock options, ESPP shares, restricted stock, restricted stock units, performance shares and performance units. Total share-based compensation and related tax benefits were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Share-based compensation | $ | 182 | $ | 146 | $ | 126 | |||||
Tax benefit recognized | $ | 52 | $ | 42 | $ | 38 | |||||
The effect of share-based compensation on the results of operations for fiscal 2012, 2011, and 2010 was as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Cost of products sold | $ | 54 | $ | 48 | $ | 32 | |||||
Research, development, and engineering | 54 | 46 | 43 | ||||||||
Selling, general and administrative | 74 | 52 | 51 | ||||||||
Total | $ | 182 | $ | 146 | $ | 126 | |||||
The cost associated with share-based awards that are subject solely to time-based vesting requirements, less expected forfeitures, is recognized over the awards’ service period for the entire award on a straight-line basis. The cost associated with performance-based equity awards is recognized for each tranche over the service period, based on an assessment of the likelihood that the applicable performance goals will be achieved.
At October 28, 2012, Applied had $259 million in total unrecognized compensation expense, net of estimated forfeitures, related to grants of stock options, restricted stock units, restricted stock, performance units, performance shares and shares issued under Applied’s ESPP, which will be recognized over a weighted average period of 2.5 years. On March 6, 2012, Applied's stockholders approved the amended and restated Employee Stock Incentive Plan, which included an increase of 125 million shares of Applied common stock available for issuance under the plan and other amendments to the plan. Also, upon approval of the amended and restated plan, the 2000 Global Equity Incentive Plan, which had approximately 76 million shares available for issuance, became unavailable for any future grants. At October 28, 2012, there were 195 million shares available for grants of stock options, restricted stock units, restricted stock, performance units, performance shares and other share-based awards under the Employee Stock Incentive Plan, and an additional 47 million shares available for issuance under the ESPP.
96
Stock Options
Applied grants options to purchase, at future dates, shares of its common stock to employees and consultants. The exercise price of each stock option equals the fair market value of Applied common stock on the date of grant. Options typically vest over three to four years, subject to the grantee’s continued service with Applied through the scheduled vesting date, and expire no later than seven years from the grant date. The fair value of each option grant is estimated on the date of grant using the Black-Scholes option pricing model. This model was developed for use in estimating the value of publicly traded options that have no vesting restrictions and are fully transferable. Applied’s employee stock options have characteristics significantly different from those of publicly traded options. There were no stock options granted during fiscal 2012, 2011 and 2010. As part of the Varian acquisition, stock options to purchase 5 million shares of Applied common stock were assumed during fiscal 2012. The weighted average assumptions used in the model for the stock options assumed are outlined below:
2012 | ||
Stock Options: | ||
Dividend yield | 2.6 | % |
Expected volatility | 38.7 | % |
Risk-free interest rate | 0.52 | % |
Expected life (in years) | 3.3 | |
Information with respect to stock options is as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Aggregate intrinsic value of outstanding stock options | $ | 43 | $ | 58 | $ | 73 | |||||
Total intrinsic value of stock options exercised | $ | 21 | $ | 23 | $ | 15 | |||||
Total fair value of stock options vested | $ | 41 | $ | 17 | $ | 21 | |||||
Cash received from stock option exercises | $ | 33 | $ | 41 | $ | 78 | |||||
Actual tax benefit realized from options exercised | $ | 7 | $ | 11 | $ | 4 | |||||
Stock option activity for fiscal 2012, 2011 and 2010 was as follows:
2012 | 2011 | 2010 | ||||||||||||||||||
Shares | Weighted Average Exercise Price | Shares | Weighted Average Exercise Price | Shares | Weighted Average Exercise Price | |||||||||||||||
(In millions, except per share amounts) | ||||||||||||||||||||
Outstanding, beginning of year | 30 | $ | 13.05 | 51 | $ | 15.04 | 73 | $ | 14.72 | |||||||||||
Assumed in Varian acquisition | 5 | $ | 4.85 | — | $ | — | — | $ | — | |||||||||||
Exercised | (4 | ) | $ | 7.30 | (5 | ) | $ | 9.21 | (7 | ) | $ | 10.88 | ||||||||
Canceled and forfeited | (10 | ) | $ | 16.76 | (16 | ) | $ | 20.28 | (15 | ) | $ | 15.64 | ||||||||
Outstanding, end of year | 21 | $ | 10.53 | 30 | $ | 13.05 | 51 | $ | 15.04 | |||||||||||
Exercisable, end of year | 20 | $ | 10.71 | 24 | $ | 14.23 | 37 | $ | 17.39 | |||||||||||
97
The following table summarizes information with respect to options outstanding and exercisable at October 28, 2012:
Options Outstanding | Options Exercisable | |||||||||||||||||||||||
Range of Exercise Prices | Number of Shares | Weighted Average Exercise Price | Weighted Average Remaining Contractual Life | Aggregate Intrinsic Value | Number of Shares | Weighted Average Exercise Price | Aggregate Intrinsic Value | |||||||||||||||||
(In millions) | (In years) | (In millions) | (In millions) | (In millions) | ||||||||||||||||||||
$3.09 — $9.99 | 15 | $ | 7.68 | 1.80 | $ | 43 | 14 | $ | 7.80 | $ | 40 | |||||||||||||
$10.00 — $19.99 | 6 | $ | 17.11 | 0.57 | — | 6 | $ | 17.11 | — | |||||||||||||||
21 | $ | 10.53 | 1.43 | $ | 43 | 20 | $ | 10.71 | $ | 40 | ||||||||||||||
Options exercisable and expected to become exercisable | 21 | $ | 10.53 | 1.43 | $ | 43 | ||||||||||||||||||
Option prices at the lower end of the range were principally attributable to stock options assumed in connection with the Varian acquisition in fiscal year 2012.
Restricted Stock Units, Restricted Stock, Performance Shares and Performance Units
Restricted stock units are converted into shares of Applied common stock upon vesting on a one-for-one basis. Restricted stock has the same rights as other issued and outstanding shares of Applied common stock except these shares have no right to dividends and are held in escrow until the award vests. Performance shares and performance units are awards that result in a payment to a grantee in shares of Applied common stock on a one-for-one basis if performance goals and/or other vesting criteria established by the Human Resources and Compensation Committee of Applied's Board of Directors (the Committee) are achieved or the awards otherwise vest. Restricted stock units, restricted stock, performance shares and performance units typically vest over four years and vesting is usually subject to the grantee’s continued service with Applied and, in some cases, achievement of specified performance goals. The compensation expense related to the service-based awards is determined using the fair market value of Applied common stock on the date of the grant, and the compensation expense is recognized over the vesting period.
Restricted stock units, restricted stock, performance shares and performance units granted to certain executive officers and other key employees are also subject to the achievement of specified performance goals (performance-based awards). These performance-based awards become eligible to vest only if performance goals are achieved and then actually will vest only if the grantee remains employed by Applied through each applicable vesting date. The fair value of these performance-based awards is estimated on the date of the grant and assumes that the specified performance goals will be achieved. If the goals are achieved, these awards vest over a specified remaining service period of generally three or four years, provided that the grantee remain employed by Applied through each scheduled vesting date. If the performance goals are not met, no compensation expense is recognized and any previously recognized compensation expense is reversed. The expected cost of each award is reflected over the service period and is reduced for estimated forfeitures.
For performance-based awards granted during fiscal 2011 and 2010, the performance goals require (i) the achievement of targeted adjusted annual operating profit margin levels compared to Applied’s peer companies in at least one of the four fiscal years beginning with the fiscal year of the grant, and (ii) that Applied’s annual adjusted operating profit margin is positive in such year. An award that has become eligible for time-based vesting based on achievement of the performance goals will vest over approximately four years from the date of grant, provided that the grantee remains employed by Applied through each scheduled vesting date. Performance-based awards that do not become eligible for time-based vesting in a particular year may become eligible for time-based vesting in subsequent years up until the fourth fiscal year after grant, after which they are forfeited if the required performance goals have not been achieved.
In fiscal 2012, the Committee granted performance-based awards that require the achievement of positive and relative adjusted operating profit margin goals in a manner generally similar to the previously granted performance-based awards. For the fiscal 2012 awards, additional shares become eligible for time-based vesting depending on certain levels of achievement of Applied's total shareholder return (TSR) relative to a peer group comprised of companies in the Standard & Poor's 500 Information Technology Index measured at the end of a two-year period.
98
The Committee approved the grant of 3 million performance-based restricted stock units and 1 million performance-based shares of restricted stock under this program in fiscal 2012. In each of fiscal 2011 and 2010, the Committee approved the grant of 2 million performance-based restricted stock units and 0.1 million performance-based shares of restricted stock. With respect to the performance-based awards granted in fiscal 2012, as of October 28, 2012, no awards had been earned. With respect to the performance-based awards granted in fiscal 2011, as of October 28, 2012, 100 percent of the awards had been earned, subject to additional time-based vesting requirements. With respect to the performance-based awards granted in fiscal 2010, as of October 28, 2012, 82 percent of the awards had been earned, subject to additional time-based vesting requirements. The remaining 18 percent of the awards may still be earned, depending on performance in fiscal 2013.
A summary of the changes in restricted stock units, restricted stock, performance shares and performance units outstanding under Applied’s equity compensation plans during fiscal 2012 are presented below:
Shares | Weighted Average Grant Date Fair Value | Weighted Average Remaining Contractual Term | Aggregate Intrinsic Value | |||||||||
(In millions, except per share amounts) | ||||||||||||
Non-vested restricted stock units, restricted stock, performance shares and performance units at October 25, 2009 | 12 | $ | 16.16 | 2.4 Years | $ | 157 | ||||||
Granted | 13 | $ | 12.36 | |||||||||
Vested | (5 | ) | $ | 17.13 | ||||||||
Canceled | (2 | ) | $ | 15.41 | ||||||||
Non-vested restricted stock units, restricted stock, performance shares and performance units at October 31, 2010 | 18 | $ | 13.33 | 2.8 Years | $ | 227 | ||||||
Granted | 17 | $ | 12.62 | |||||||||
Vested | (5 | ) | $ | 14.64 | ||||||||
Canceled | (2 | ) | $ | 13.11 | ||||||||
Non-vested restricted stock units, restricted stock, performance shares and performance units at October 30, 2011 | 28 | $ | 12.64 | 2.8 Years | $ | 345 | ||||||
Granted | 19 | $ | 10.61 | |||||||||
Vested | (9 | ) | $ | 12.87 | ||||||||
Canceled | (2 | ) | $ | 12.26 | ||||||||
Non-vested restricted stock units, restricted stock, performance shares and performance units at October 28, 2012 | 36 | $ | 11.53 | 2.6 Years | $ | 376 | ||||||
Non-vested restricted stock units, restricted stock, performance shares and performance units expected to vest | 34 | $ | 11.81 | 2.3 Years | $ | 357 | ||||||
At October 28, 2012, 2 million additional performance-based awards could be earned upon certain levels of achievement of Applied's TSR relative to a peer group at a future date.
The actual tax benefit realized for the tax deductions from vested restricted stock units totaled $27 million in fiscal 2012 and $22 million in each of fiscal 2011 and 2010.
99
Employee Stock Purchase Plans
Under the ESPP, substantially all employees may purchase Applied common stock through payroll deductions at a price equal to 85 percent of the lower of the fair market value of Applied common stock at the beginning or end of each 6-month purchase period, subject to certain limits. Based on the Black-Scholes option pricing model, the weighted average estimated fair value of purchase rights under the ESPP was $2.73 per share for the year ended October 28, 2012, $3.03 per share for the year ended October 30, 2011 and $2.76 per share for the year ended October 31, 2010. The number of shares issued under the ESPP during fiscal 2012, 2011 and 2010 was 7 million, 6 million and 5 million, respectively. At October 28, 2012, there were 47 million available for future issuance under the ESPP. Compensation expense is calculated using the fair value of the employees’ purchase rights under the Black-Scholes model. Underlying assumptions used in the model for fiscal 2012, 2011 and 2010 are outlined in the following table:
2012 | 2011 | 2010 | ||||||
ESPP: | ||||||||
Dividend yield | 3.01 | % | 2.53 | % | 2.44 | % | ||
Expected volatility | 29.6 | % | 31.1 | % | 33.3 | % | ||
Risk-free interest rate | 0.13 | % | 0.09 | % | 0.19 | % | ||
Expected life (in years) | 0.5 | 0.5 | 0.5 | |||||
Note 13 | Employee Benefit Plans |
Employee Bonus Plans
Applied has various employee bonus plans. A discretionary bonus plan provides for the distribution of a percentage of pre-tax income to Applied employees who are not participants in other performance-based incentive plans, up to a maximum percentage of eligible compensation. Other plans provide for bonuses to Applied’s executives and other key contributors based on the achievement of profitability and/or other specified performance criteria. Charges under these plans were $271 million for fiscal 2012, $319 million for fiscal 2011, and $320 million charges for fiscal 2010.
Employee Savings and Retirement Plan
Applied’s Employee Savings and Retirement Plan (401(k) Plan) is qualified under Sections 401(a) and (k) of the Internal Revenue Code. Eligible employees may make salary deferral and catch-up contributions under the 401(k) Plan on a pre-tax basis. Applied matches a percentage of each participant’s salary deferral contributions with cash contributions. Plan participants who were employed by Applied or any of its affiliates on or after January 1, 2010 became 100% vested in their Applied matching contribution account balances. Participants may direct that funds held in their 401(k) Plan accounts, including any Applied matching contributions, be invested in any of the diversified investment funds available under the 401(k) Plan or within certain limits in the Applied Materials, Inc. Common Stock Fund (Stock Fund), which invests solely in shares of Applied common stock. The Stock Fund is a non-leveraged employee stock ownership plan (within the meaning of Section 4975(e)(7) of the Internal Revenue Code) and, as a result, participants have the option of specifying that any future cash dividends paid on shares held in the Stock Fund be either reinvested in the Stock Fund or distributed directly to them in cash no later than 90 days after the calendar year for which the dividends were paid. Applied’s matching contributions under this plan were approximately $27 million for each of fiscal 2012 and fiscal 2011, and $25 million, net of $1 million in forfeitures, for fiscal 2010.
100
In addition, Applied has a defined contribution retirement plan covering all U.S. Varian employees. Generally, Applied makes a guaranteed contribution, and in some years a discretionary contribution, to each participant's account, typically based on fiscal year earnings achievement and calculated as a percentage of the participant's base pay. Participants are entitled, upon termination or retirement, to their portion of the retirement fund assets, which are held by a third-party custodian. Applied’s matching contributions under this plan were approximately $10 million for fiscal 2012.
Defined Benefit Pension Plans of Foreign Subsidiaries and Other Post-Retirement Benefits
Several of Applied’s foreign subsidiaries have defined benefit pension plans covering substantially all of their eligible employees. Benefits under these plans are typically based on years of service and final average compensation levels. The plans are managed in accordance with applicable local statutes and practices. Applied deposits funds for certain of these plans with insurance companies, pension trustees, government-managed accounts, and/or accrues the expense for the unfunded portion of the benefit obligation on its Consolidated Financial Statements. Applied’s practice is to fund the various pension plans in amounts sufficient to meet the minimum requirements as established by applicable local governmental oversight and taxing authorities. Depending on the design of the plan, local custom and market circumstances, the liabilities of a plan may exceed qualified plan assets. The differences between the aggregate projected benefit obligations and aggregate plan assets of these plans have been recorded as liabilities by Applied and are included in employee benefits and accrued expenses in the Consolidated Balance Sheets.
Applied also has a U.S. post-retirement plan that provides certain medical and vision benefits to eligible retirees who are at least age 55 and whose years of service plus their age equals at least 65 at their date of retirement. An eligible retiree also may elect coverage for an eligible spouse or domestic partner who is not eligible for Medicare. Coverage under the plan generally ends for both the retiree and spouse or domestic partner upon becoming eligible for Medicare. Applied’s liability under this post-retirement plan, which was included in other long-term liabilities in the Consolidated Balance Sheets, was $28 million at October 28, 2012 and $13 million at October 30, 2011. The balance at October 28, 2012 includes an allowance for the potential obligations in connection with the recently announced voluntary retirement program associated with the 2012 Global Restructuring Plan.
101
A summary of the changes in benefit obligations and plan assets, which includes post-retirement benefits, for fiscal 2012 and 2011 is presented below.
2012 | 2011 | ||||||
(In millions, except percentages) | |||||||
Change in projected benefit obligation | |||||||
Beginning projected benefit obligation | $ | 303 | $ | 309 | |||
Service cost | 16 | 15 | |||||
Interest cost | 14 | 14 | |||||
Plan participants’ contributions | 1 | 1 | |||||
Actuarial (gain) loss | 96 | (26 | ) | ||||
Curtailments, settlements and special termination benefits | (3 | ) | (9 | ) | |||
Foreign currency exchange rate changes | (4 | ) | 5 | ||||
Benefits paid | (7 | ) | (8 | ) | |||
Plan amendments and business combinations | 18 | 2 | |||||
Ending projected benefit obligation | $ | 434 | $ | 303 | |||
Ending accumulated benefit obligation | $ | 395 | $ | 273 | |||
Range of assumptions to determine benefit obligations | |||||||
Discount rate | 1.3% - 4.7% | 1.7% - 6.5% | |||||
Rate of compensation increase | 2.0% - 8.0% | 2.0% - 5.0% | |||||
Change in plan assets | |||||||
Beginning fair value of plan assets | $ | 183 | $ | 162 | |||
Return on plan assets | 14 | 3 | |||||
Employer contributions | 31 | 26 | |||||
Plan participants’ contributions | 1 | 1 | |||||
Foreign currency exchange rate changes | (4 | ) | 2 | ||||
Divestitures, settlements and business combinations | (4 | ) | (3 | ) | |||
Benefits paid | (7 | ) | (8 | ) | |||
Ending fair value of plan assets | $ | 214 | $ | 183 | |||
Funded status | $ | (220 | ) | $ | (120 | ) | |
Amounts recognized in the consolidated balance sheets | |||||||
Noncurrent asset | $ | 5 | $ | 13 | |||
Current liability | (4 | ) | (3 | ) | |||
Noncurrent liability | (221 | ) | (130 | ) | |||
Total | $ | (220 | ) | $ | (120 | ) | |
Estimated amortization from accumulated other comprehensive loss into net periodic benefit cost over the next fiscal year | |||||||
Actuarial loss | $ | 6 | $ | 1 | |||
Prior service cost (credit) | (1 | ) | — | ||||
Total | $ | 5 | $ | 1 | |||
Amounts recognized in accumulated other comprehensive loss | |||||||
Net actuarial loss | $ | 117 | $ | 27 | |||
Prior service cost (credit) | (3 | ) | (4 | ) | |||
Total | $ | 114 | $ | 23 | |||
Plans with projected benefit obligations in excess of plan assets | |||||||
Projected benefit obligation | $ | 428 | $ | 259 | |||
Fair value of plan assets | $ | 202 | $ | 126 | |||
Plans with accumulated benefit obligations in excess of plan assets | |||||||
Accumulated benefit obligation | $ | 389 | $ | 225 | |||
Fair value of plan assets | $ | 202 | $ | 116 | |||
102
2012 | 2011 | ||||
Plan assets — allocation | |||||
Equity securities | 37 | % | 37 | % | |
Debt securities | 33 | % | 28 | % | |
Insurance contracts | 23 | % | 26 | % | |
Commingled funds | 5 | % | 5 | % | |
Cash | 2 | % | 4 | % | |
The following table presents a summary of the ending fair value of the plan assets:
October 28, 2012 | October 30, 2011 | ||||||||||||||||||||||||||||||
Level 1 | Level 2 | Level 3 | Total | Level 1 | Level 2 | Level 3 | Total | ||||||||||||||||||||||||
(In millions) | |||||||||||||||||||||||||||||||
Equity securities | $ | 79 | $ | — | $ | — | $ | 79 | $ | 67 | $ | — | $ | — | $ | 67 | |||||||||||||||
Debt securities | 70 | — | — | 70 | 51 | — | — | 51 | |||||||||||||||||||||||
Insurance contracts | — | — | 49 | 49 | — | — | 48 | 48 | |||||||||||||||||||||||
Commingled funds | — | 12 | — | 12 | — | 10 | — | 10 | |||||||||||||||||||||||
Cash | 4 | — | — | 4 | 7 | — | — | 7 | |||||||||||||||||||||||
Total | $ | 153 | $ | 12 | $ | 49 | $ | 214 | $ | 125 | $ | 10 | $ | 48 | $ | 183 | |||||||||||||||
The following table presents the activity in Level 3 instruments during fiscal 2012 and 2011:
2012 | 2011 | ||||||
(In millions) | |||||||
Balance, beginning of year | $ | 48 | $ | 44 | |||
Actual return on plan assets: | |||||||
Relating to assets still held at reporting date | 1 | 1 | |||||
Purchases, sales, settlements, net | 3 | 2 | |||||
Currency impact | (3 | ) | 1 | ||||
Balance, end of year | $ | 49 | $ | 48 | |||
Applied’s investment strategy for its defined benefit plans is to invest plan assets in a prudent manner, maintaining well-diversified portfolios with the long-term objective of meeting the obligations of the plans as they come due. Asset allocation decisions are typically made by plan fiduciaries with input from Applied’s international pension committee. Applied’s asset allocation strategy incorporates a sufficient equity exposure in order for the plans to benefit from the expected better long-term performance of equities relative to the plans’ liabilities. Applied retains investment managers, where appropriate, to manage the assets of the plans. Performance of investment managers is monitored by plan fiduciaries with the assistance of local investment consultants. The investment managers make investment decisions within the guidelines set forth by plan fiduciaries. Risk management practices include diversification across asset classes and investment styles, and periodic rebalancing toward target asset allocation ranges. Investment managers may use derivative instruments for efficient portfolio management purposes. Plan assets do not include any of Applied’s own equity or debt securities.
103
A summary of the components of net periodic benefit costs and the weighted average assumptions used for net periodic benefit cost and benefit obligation calculations for fiscal 2012, 2011 and 2010 is presented below.
2012 | 2011 | 2010 | |||||||||
(In millions, except percentages) | |||||||||||
Components of net periodic benefit cost | |||||||||||
Service cost | $ | 16 | $ | 15 | $ | 13 | |||||
Interest cost | 14 | 14 | 13 | ||||||||
Expected return on plan assets | (11 | ) | (11 | ) | (7 | ) | |||||
Amortization of actuarial loss and prior service credit | — | 2 | 1 | ||||||||
Settlement and curtailment loss (gain) | 6 | (2 | ) | 1 | |||||||
Net periodic benefit cost | $ | 25 | $ | 18 | $ | 21 | |||||
Weighted average assumptions | |||||||||||
Discount rate | 4.53 | % | 4.33 | % | 4.76 | % | |||||
Expected long-term return on assets | 5.91 | % | 6.39 | % | 6.92 | % | |||||
Rate of compensation increase | 3.09 | % | 3.42 | % | 3.30 | % | |||||
Asset return assumptions are derived based on actuarial and statistical methodologies, from analysis of long-term historical data relevant to the country in which each plan is in effect and the investments applicable to the corresponding plan. The discount rate for each plan was derived by reference to appropriate benchmark yields on high quality corporate bonds, allowing for the approximate duration of both plan obligations and the relevant benchmark yields.
Future expected benefit payments for the pension plans and the post-retirement plan over the next ten fiscal years are as follows:
Benefit Payments | |||
(In millions) | |||
2013 | $ | 12 | |
2014 | 13 | ||
2015 | 14 | ||
2016 | 14 | ||
2017 | 15 | ||
2018-2022 | 87 | ||
$ | 155 | ||
Company contributions to these plans for fiscal 2013 are expected to be approximately $17 million.
Executive Deferred Compensation Plans
Applied sponsors two unfunded deferred compensation plans, the Executive Deferred Compensation Plan (Predecessor EDCP) and the 2005 Executive Deferred Compensation Plan (2005 EDCP), under which certain employees may elect to defer a portion of their following year’s eligible earnings. The Predecessor EDCP was frozen as of December 31, 2004 such that no new deferrals could be made under the plan after that date and the plan would qualify for “grandfather” relief under Section 409A of the Internal Revenue Code. The Predecessor EDCP participant accounts continue to be maintained under the plan and credited with deemed interest. The 2005 EDCP was implemented by Applied effective as of January 1, 2005 and is intended to comply with the requirements of Section 409A of the Internal Revenue Code. Amounts payable, including accrued deemed interest, totaled $50 million at October 28, 2012 and $59 million at October 30, 2011, which were included in other long-term liabilities in the Consolidated Balance Sheets.
In addition, Applied also sponsors a non-qualified deferred compensation plan as a result of the acquisition of Varian. At October 28, 2012, the liability related to this plan totaled $7 million, of which $6 million was included in other long-term liabilities in the Consolidated Balance Sheets.
104
Note 14 | Income Taxes |
The components of income from operations before income taxes for fiscal 2012, 2011 and 2010 were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
U.S. | $ | 381 | $ | 1,257 | $ | 787 | |||||
Foreign | (65 | ) | 1,121 | 600 | |||||||
$ | 316 | $ | 2,378 | $ | 1,387 | ||||||
The components of the provision for income taxes for fiscal 2012, 2011 and 2010 were as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Current: | |||||||||||
U.S. | $ | 74 | $ | 290 | $ | 463 | |||||
Foreign | 75 | 206 | 134 | ||||||||
State | 8 | 5 | 34 | ||||||||
157 | 501 | 631 | |||||||||
Deferred: | |||||||||||
U.S. | 52 | (95 | ) | (160 | ) | ||||||
Foreign | (4 | ) | (23 | ) | 4 | ||||||
State | 2 | 69 | (26 | ) | |||||||
50 | (49 | ) | (182 | ) | |||||||
$ | 207 | $ | 452 | $ | 449 | ||||||
A reconciliation between the statutory U.S. federal income tax rate of 35 percent and Applied’s actual effective income tax rate for fiscal 2012, 2011 and 2010 is presented below:
2012 | 2011 | 2010 | ||||||
Tax provision at U.S. statutory rate | 35.0 | % | 35.0 | % | 35.0 | % | ||
Favorable resolutions from audits of prior years’ income tax filings | (6.0 | ) | (6.9 | ) | — | |||
Effect of foreign operations taxed at various rates | (8.5 | ) | (8.1 | ) | (3.0 | ) | ||
State income taxes, net of federal benefit | 2.0 | 1.6 | 0.9 | |||||
Research and other tax credits | (1.0 | ) | (1.2 | ) | (0.3 | ) | ||
Production benefit | (8.0 | ) | (0.8 | ) | (1.2 | ) | ||
Goodwill impairment | 47.0 | — | — | |||||
Share-based compensation | 4.0 | 0.4 | 0.6 | |||||
Other | 1.0 | (1.0 | ) | 0.4 | ||||
65.5 | % | 19.0 | % | 32.4 | % | |||
The effective income tax rate for fiscal 2012 is significantly higher than the rate for fiscal 2011 due primarily to the recording of goodwill impairment charges in fiscal 2012, which are not deductible for tax purposes.
105
Deferred income taxes reflect the net tax effects of temporary differences between the carrying amounts of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes. The components of deferred income tax assets and liabilities are as follows:
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Deferred tax assets: | |||||||
Inventory reserves and basis difference | $ | 119 | $ | 214 | |||
Installation and warranty reserves | 27 | 31 | |||||
Foreign tax credits on undistributed foreign earnings | — | 112 | |||||
Accrued liabilities | 224 | 250 | |||||
Restructuring reserves | 23 | 4 | |||||
Deferred revenue | 36 | 53 | |||||
Capital loss carryforward | — | 4 | |||||
Tax credits and net operating losses | 111 | 39 | |||||
Deferred compensation | 41 | 21 | |||||
Share-based compensation | 51 | 49 | |||||
Intangibles | 12 | 10 | |||||
Gross deferred tax assets | 644 | 787 | |||||
Valuation allowance | (46 | ) | (13 | ) | |||
Total deferred tax assets | 598 | 774 | |||||
Deferred tax liabilities: | |||||||
Depreciation | (71 | ) | (61 | ) | |||
Purchased technology | (190 | ) | (86 | ) | |||
Undistributed foreign earnings | (93 | ) | — | ||||
Other | (25 | ) | (44 | ) | |||
Total gross deferred tax liabilities | (379 | ) | (191 | ) | |||
Net deferred tax assets | $ | 219 | $ | 583 | |||
The following table presents the breakdown between current and non-current net deferred tax assets and liabilities:
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Current deferred tax asset | $ | 369 | $ | 580 | |||
Non-current deferred tax asset | 51 | 78 | |||||
Current deferred tax liability | (1 | ) | (1 | ) | |||
Non-current deferred tax liability | (200 | ) | (74 | ) | |||
$ | 219 | $ | 583 | ||||
Current deferred tax liabilities are included in accounts payable and accrued expenses on the Consolidated Balance Sheets and non-current deferred tax liabilities are included in deferred income taxes and income taxes payable on the Consolidated Balance Sheets.
106
A valuation allowance is recorded to reflect the estimated amount of deferred tax assets that may not be realized. A valuation allowance was established against state research and development credit carryforwards where it is believed that it is not more likely than not that the carryforwards will be realized.
Applied has been granted tax holidays for certain of its subsidiaries in Singapore and Israel. The tax benefit arising from these tax holidays was $54 million for 2012 ($0.04 per diluted share). The tax holidays expire at various times through 2025, excluding potential renewals, and are subject to certain conditions with which the Company expects to comply.
For fiscal 2012, U.S. income taxes have not been provided for approximately $1.6 billion of cumulative undistributed earnings of several non-U.S. subsidiaries. Applied intends to reinvest these earnings indefinitely in operations outside of the U.S. If these earnings were distributed to the United States in the form of dividends or otherwise, or if the shares of the relevant foreign subsidiaries were sold or otherwise transferred, Applied would be subject to additional U.S. income taxes (subject to an adjustment for foreign tax credits) and foreign withholding taxes. Determination of the amount of unrecognized deferred income tax liability related to these earnings is not practicable.
At October 28, 2012, Applied has state research and development tax credit carryforwards of $51 million which have lives ranging from 15 years to indefinite. Applied has a $40 million federal foreign tax credit carryforward which has a 10 year life. Applied also has net operating loss carryforwards in foreign jurisdictions of $11 million and state jurisdictions of $6 million, which have lives ranging from five years to indefinite. Management believes it is more likely than not that all loss and tax credit carryforwards at October 28, 2012, net of valuation allowance, will be utilized in future periods.
Applied’s income taxes payable have been reduced by the tax benefits associated with employee stock option transactions. These benefits, credited directly to stockholders’ equity with a corresponding reduction to taxes payable, amounted to $2 million for fiscal 2012, $4 million for fiscal 2011, and $2 million for fiscal 2010.
Applied maintains liabilities for uncertain tax positions. These liabilities involve considerable judgment and estimation and are continuously monitored by management based on the best information available. A reconciliation of the beginning and ending balances of the total amounts of gross unrecognized tax benefits is as follows:
2012 | 2011 | ||||||
(In millions) | |||||||
Beginning balance of gross unrecognized tax benefits | $ | 59 | $ | 328 | |||
Uncertainties arising from the acquisition of Varian | 76 | — | |||||
Settlements with tax authorities | (16 | ) | (314 | ) | |||
Increases in tax positions for current years | 46 | 45 | |||||
Increases in tax positions for prior years | 53 | — | |||||
Decreases in tax positions for prior years | (37 | ) | — | ||||
Ending balance of gross unrecognized tax benefits | $ | 181 | $ | 59 | |||
As of October 28, 2012, Applied had unrecognized tax benefits, net of federal deduction for state tax, of $177 million, all of which, if recognized, would result in a reduction of Applied’s effective tax rate.
As of October 28, 2012, the gross liability for unrecognized tax benefits was $174 million, exclusive of interest and penalties. Increases or decreases to interest and penalties on uncertain tax positions are included in provision for income taxes in the Consolidated Statement of Operations. Interest and penalties accrued related to uncertain tax positions were $7 million as of October 28, 2012 and $1 million as of October 30, 2011, which were classified as a long-term liability in the Consolidated Balance Sheets.
In fiscal 2011, Applied received a refund of $276 million, including interest, as a result of settling an Internal Revenue Service (IRS) audit for fiscal years 2006 and 2007. This resulted in the recognition of a tax benefit of $176 million in the Consolidated Statement of Operations for fiscal 2011, which was net of previously recognized tax benefits.
107
During fiscal 2011, the IRS began an examination of Applied’s federal income tax returns for fiscal years 2009 and 2008. Applied believes it has adequately reserved for any income tax uncertainties that may arise as a result of this examination.
A number of Applied’s tax returns remain subject to examination by taxing authorities. These include U.S. federal returns for fiscal 2008 and later years, California returns for fiscal 2006 and later years, tax returns for certain other states for fiscal 2007 and later years, and tax returns in certain jurisdictions outside of the United States for fiscal 2005 and later years.
The timing of the resolution of income tax examinations, as well as the amounts and timing of various tax payments that may be part of the settlement process, is highly uncertain. This could cause large fluctuations in the balance sheet classification of current assets and non-current assets and liabilities. Applied estimates that unrecognized tax benefits at October 28, 2012 could be reduced by approximately $9 million in the next 12 months.
Note 15 | Warranty, Guarantees and Contingencies |
Leases
Applied leases some of its facilities and equipment under non-cancelable operating leases and has options to renew most leases, with rentals to be negotiated. Total rent expense was $38 million for fiscal 2012, $44 million for fiscal 2011, and $44 million for fiscal 2010.
As of October 28, 2012, future minimum lease payments are expected to be as follows:
Lease Payments | |||
(In millions) | |||
2013 | $ | 31 | |
2014 | 20 | ||
2015 | 12 | ||
2016 | 10 | ||
2017 | 8 | ||
Thereafter | 8 | ||
$ | 89 | ||
Warranty
Changes in the warranty reserves during fiscal 2012 and 2011 were as follows:
2012 | 2011 | ||||||
(In millions) | |||||||
Beginning balance | $ | 168 | $ | 155 | |||
Provisions for warranty | 107 | 170 | |||||
Consumption of reserves | (156 | ) | (157 | ) | |||
Ending balance | $ | 119 | $ | 168 | |||
Applied products are generally sold with a 12-month warranty period following installation. The provision for the estimated cost of warranty is recorded when revenue is recognized. Parts and labor are covered under the terms of the warranty agreement. The warranty provision is based on historical experience by product, configuration and geographic region. Quarterly warranty consumption is generally associated with sales that occurred during the preceding four quarters, and quarterly warranty provisions are generally related to the current quarter’s sales.
108
Guarantees
In the ordinary course of business, Applied provides standby letters of credit or other guarantee instruments to third parties as required for certain transactions initiated by either Applied or its subsidiaries. As of October 28, 2012, the maximum potential amount of future payments that Applied could be required to make under these guarantee agreements was approximately $42 million. Applied has not recorded any liability in connection with these guarantee agreements beyond that required to appropriately account for the underlying transaction being guaranteed. Applied does not believe, based on historical experience and information currently available, that it is probable that any amounts will be required to be paid under these guarantee agreements.
Applied also has agreements with various banks to facilitate subsidiary banking operations worldwide, including overdraft arrangements, issuance of bank guarantees, and letters of credit. As of October 28, 2012, Applied Materials Inc. has provided parent guarantees to banks for approximately $102 million to cover these arrangements.
Legal Matters
Jusung
Applied has been engaged in several lawsuits and patent and administrative proceedings with Jusung Engineering Co., Ltd. and/or Jusung Pacific Co., Ltd. (Jusung) in Taiwan and South Korea since 2003, and more recently in China, involving technology used in manufacturing liquid crystal displays (LCDs). Applied believes that it has meritorious claims and defenses against Jusung that it intends to pursue vigorously.
In 2004, Applied filed a complaint for patent infringement against Jusung in the Hsinchu District Court in Taiwan seeking damages and a permanent injunction for infringement of a patent related to chemical vapor deposition (CVD) equipment. Jusung filed a counterclaim against Applied. On December 31, 2010, the Hsinchu District Court dismissed both actions, and appeals by both parties remain pending at the Taiwan Intellectual Property Court. Jusung unsuccessfully sought invalidation of Applied's CVD patent in the Taiwanese Intellectual Property Office (TIPO). In September 2010, the Taipei Supreme Administrative Court dismissed Jusung's appeal of the TIPO's decision. In 2009, Jusung filed a second action with the TIPO seeking invalidation of Applied's CVD patent, which action remains pending.
In November 2009, Applied filed an action in China with the Patent Reexamination Board of the State Intellectual Property Office seeking to invalidate a Jusung patent related to separability of the transfer chamber on a CVD tool. The Patent Reexamination Board invalidated Jusung's patent in China and Jusung's appeal was dismissed by the Beijing No. 1 Intermediate People's Court. Jusung appealed this decision to the Beijing High People's Court and on June 20, 2012, the Court rejected Jusung's appeal.
Korea Criminal Proceedings
In February 2010, the Seoul Prosecutor's Office for the Eastern District of Korea (the Prosecutor's Office) indicted employees of several companies for the alleged improper receipt and use of confidential information belonging to Samsung Electronics Co., Ltd. (Samsung), a major Applied customer based in Korea. The Prosecutor's Office did not name Applied or any of its subsidiaries as a party to the criminal action. The individuals charged included the former head of Applied Materials Korea (AMK), who at the time of the indictment was a vice president of Applied Materials, Inc., and certain other AMK employees. Hearings on these matters have been ongoing. On November 22, 2012, the Court held the last hearing and scheduled the announcement of its decision for January 24, 2013.
From time to time, Applied receives notification from third parties, including customers and suppliers, seeking indemnification, litigation support, payment of money or other actions by Applied in connection with claims made against them. In addition, from time to time, Applied receives notification from third parties claiming that Applied may be or is infringing or misusing their intellectual property or other rights. Applied also is subject to various other legal proceedings and claims, both asserted and unasserted, that arise in the ordinary course of business.
Although the outcome of the above-described matters or these claims and proceedings cannot be predicted with certainty, Applied does not believe that any of these proceedings or other claims will have a material adverse effect on its consolidated financial condition or results of operations.
109
Note 16 | Industry Segment Operations |
Applied’s four reportable segments are: Silicon Systems Group, Applied Global Services, Display, and Energy and Environmental Solutions. As defined under the accounting literature, Applied’s chief operating decision-maker are both the Chief Executive Officer and the President, who review operating results to make decisions about allocating resources and assessing performance for the entire Company. Segment information is presented based upon Applied’s management organization structure as of October 28, 2012 and the distinctive nature of each segment. Future changes to this internal financial structure may result in changes to Applied’s reportable segments.
Each reportable segment is separately managed and has separate financial results that are reviewed by Applied’s chief operating decision-maker. Each reportable segment contains closely related products that are unique to the particular segment. Segment operating income is determined based upon internal performance measures used by Applied’s chief operating decision-maker.
Applied derives the segment results directly from its internal management reporting system. The accounting policies Applied uses to derive reportable segment results are substantially the same as those used for external reporting purposes. Management measures the performance of each reportable segment based upon several metrics including orders, net sales and operating income. Management uses these results to evaluate the performance of, and to assign resources to, each of the reportable segments. Applied does not allocate to its reportable segments certain operating expenses that it manages separately at the corporate level, which include costs related to share-based compensation; certain management, finance, legal, human resources, and research, development and engineering functions provided at the corporate level; and unabsorbed information technology and occupancy. In addition, Applied does not allocate to its reportable segments restructuring and asset impairment charges and any associated adjustments related to restructuring actions, unless these charges or adjustments pertain to a specific reportable segment. Segment operating income excludes interest income/expense and other financial charges and income taxes. Management does not consider the unallocated costs in measuring the performance of the reportable segments.
In November 2011, Applied completed its acquisition of Varian. Beginning in the first quarter of fiscal 2012, the acquired business is primarily included in the results for the Silicon Systems Group and Applied Global Services segments, with certain corporate functions included in corporate and unallocated costs.
In fiscal 2010, as part of the restructuring of the Energy and Environmental Solutions segment, Applied discontinued marketing of its fully-integrated SunFab production lines but continues to offer individual tools for thin film solar manufacturing to existing customers. Applied is supporting existing SunFab customers with services, upgrades and capacity increases through its Applied Global Services segment as these products are considered to have reached a particular stage in the product lifecycle. Effective in the first quarter of fiscal 2011, Applied accounts for thin film products under its Applied Global Services segment.
The Silicon Systems Group segment includes semiconductor capital equipment for etch, rapid thermal processing, deposition, chemical mechanical planarization, metrology and inspection, wafer packaging, and ion implantation.
The Applied Global Services segment includes technically differentiated products and services to improve operating efficiency, reduce operating costs and lessen the environmental impact of semiconductor, display and solar customers’ factories. Applied Global Services’ products consist of spares, services, certain earlier generation products, remanufactured equipment, and products that have reached a particular stage in the product lifecycle. Customer demand for these products and services is fulfilled through a global distribution system with trained service engineers located in close proximity to customer sites.
The Display segment includes products for manufacturing LCDs, organic light-emitting diodes (OLEDs), and other display technologies for TVs, personal computers, tablets, smart phones, and other consumer-oriented devices.
The Energy and Environmental Solutions segment includes products for fabricating solar photovoltaic cells and modules, high throughput roll-to-roll coating systems for flexible electronics and web products.
110
Information for each reportable segment as of October 28, 2012, October 30, 2011 and October 31, 2010 and for the fiscal years then ended, is as follows:
Net Sales | Operating Income (Loss) | Depreciation/ Amortization | Capital Expenditures | Segment Assets | |||||||||||||||
(In millions) | |||||||||||||||||||
2012: | |||||||||||||||||||
Silicon Systems Group | $ | 5,536 | $ | 1,243 | $ | 256 | $ | 71 | $ | 5,106 | |||||||||
Applied Global Services | 2,285 | 502 | 17 | 8 | 2,035 | ||||||||||||||
Display | 473 | 25 | 8 | 1 | 278 | ||||||||||||||
Energy and Environmental Solutions | 425 | (668 | ) | 38 | 6 | 513 | |||||||||||||
Total Segment | $ | 8,719 | $ | 1,102 | $ | 319 | $ | 86 | $ | 7,932 | |||||||||
2011: | |||||||||||||||||||
Silicon Systems Group | $ | 5,415 | $ | 1,764 | $ | 52 | $ | 59 | $ | 2,036 | |||||||||
Applied Global Services | 2,413 | 482 | 13 | 7 | 1,337 | ||||||||||||||
Display | 699 | 147 | 7 | 31 | 459 | ||||||||||||||
Energy and Environmental Solutions | 1,990 | 453 | 34 | 16 | 1,438 | ||||||||||||||
Total Segment | $ | 10,517 | $ | 2,846 | $ | 106 | $ | 113 | $ | 5,270 | |||||||||
2010: | |||||||||||||||||||
Silicon Systems Group | $ | 5,304 | $ | 1,892 | $ | 66 | $ | 39 | $ | 2,317 | |||||||||
Applied Global Services | 1,865 | 337 | 25 | 5 | 1,285 | ||||||||||||||
Display | 899 | 267 | 8 | 5 | 419 | ||||||||||||||
Energy and Environmental Solutions | 1,481 | (466 | ) | 57 | 41 | 1,402 | |||||||||||||
Total Segment | $ | 9,549 | $ | 2,030 | $ | 156 | $ | 90 | $ | 5,423 | |||||||||
Operating results for fiscal 2012, 2011 and 2010 included restructuring charges and asset impairments as discussed in detail in Note 11, Restructuring Charges and Asset Impairments.
Reconciliations of segment operating results to Applied consolidated totals for fiscal 2012, 2011 and 2010 are as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Total segment operating income | $ | 1,102 | $ | 2,846 | $ | 2,030 | |||||
Corporate and unallocated costs | (580 | ) | (496 | ) | (553 | ) | |||||
Restructuring charges and asset impairments | (111 | ) | 21 | (93 | ) | ||||||
Gain on sale of facility | — | 27 | — | ||||||||
Income from operations | $ | 411 | $ | 2,398 | $ | 1,384 | |||||
Corporate and unallocated costs for fiscal 2012 included deal costs and other acquisition-related costs related to the Varian acquisition of $45 million.
111
Reconciliations of depreciation and amortization expense to Applied consolidated totals for fiscal 2012, 2011 and 2010 are as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Total segment depreciation and amortization | $ | 319 | $ | 106 | $ | 156 | |||||
Depreciation on shared facilities and information technology assets | 103 | 140 | 149 | ||||||||
Consolidated depreciation and amortization | $ | 422 | $ | 246 | $ | 305 | |||||
Reconciliations of capital expenditures to Applied consolidated totals for fiscal 2012, 2011 and 2010 are as follows:
2012 | 2011 | 2010 | |||||||||
(In millions) | |||||||||||
Total segment capital expenditures | $ | 86 | $ | 113 | $ | 90 | |||||
Shared facilities and information technology assets | 76 | 96 | 79 | ||||||||
Consolidated capital expenditures | $ | 162 | $ | 209 | $ | 169 | |||||
Reconciliations of segment assets to Applied consolidated totals as of October 28, 2012, and October 30, 2011 are as follows:
October 28, 2012 | October 30, 2011 | ||||||
(In millions) | |||||||
Total segment assets | $ | 7,932 | $ | 5,270 | |||
Cash and investments | 2,992 | 7,174 | |||||
Allowance for bad debts | (87 | ) | (73 | ) | |||
Deferred income taxes | 420 | 658 | |||||
Other current assets | 98 | 90 | |||||
Common property, plant and equipment | 588 | 620 | |||||
Other assets | 159 | 122 | |||||
Consolidated total assets | $ | 12,102 | $ | 13,861 | |||
112
For geographical reporting, revenue by geographic location is determined by the location of customers’ facilities to which products were shipped. Long-lived assets consist primarily of property, plant and equipment and equity-method investments, and are attributed to the geographic location in which they are located. Net sales and long-lived assets by geographic region were as follows:
Net Sales | Long-lived Assets | ||||||
(In millions) | |||||||
2012: | |||||||
United States | $ | 1,749 | $ | 666 | |||
China | 783 | 74 | |||||
Taiwan | 2,411 | 36 | |||||
Korea | 1,897 | 9 | |||||
Europe | 863 | 110 | |||||
Japan | 704 | 6 | |||||
Southeast Asia | 312 | 87 | |||||
Total outside United States | 6,970 | 322 | |||||
Consolidated total | $ | 8,719 | $ | 988 | |||
2011: | |||||||
United States | $ | 1,963 | $ | 623 | |||
China | 2,574 | 81 | |||||
Taiwan | 2,093 | 33 | |||||
Korea | 1,263 | 8 | |||||
Europe | 1,120 | 128 | |||||
Japan | 912 | 7 | |||||
Southeast Asia | 592 | 71 | |||||
Total outside United States | 8,554 | 328 | |||||
Consolidated total | $ | 10,517 | $ | 951 | |||
2010: | |||||||
United States | $ | 1,147 | $ | 715 | |||
China | 1,557 | 78 | |||||
Taiwan | 2,750 | 32 | |||||
Korea | 1,768 | 5 | |||||
Europe | 981 | 95 | |||||
Japan | 768 | 5 | |||||
Southeast Asia | 578 | 65 | |||||
Total outside United States | 8,402 | 280 | |||||
Consolidated total | $ | 9,549 | $ | 995 | |||
The following companies accounted for at least 10 percent of Applied’s net sales in fiscal 2012, 2011, and/or 2010, which were for products in multiple reportable segments.
2012 | 2011 | 2010 | ||||||
Samsung Electronics Co., Ltd. | 20 | % | 12 | % | 14 | % | ||
Taiwan Semiconductor Manufacturing Company Limited | 16 | % | 10 | % | 11 | % | ||
Intel Corporation | * | 10 | % | * | ||||
_____________________________
* | Less than 10%. |
113
Note 17 | Unaudited Quarterly Consolidated Financial Data |
Fiscal Quarter | |||||||||||||||||||
First | Second | Third | Fourth | Fiscal Year | |||||||||||||||
(In millions, except per share amounts) | |||||||||||||||||||
2012: | |||||||||||||||||||
Net sales | $ | 2,189 | $ | 2,541 | $ | 2,343 | $ | 1,646 | $ | 8,719 | |||||||||
Gross margin | $ | 786 | $ | 1,011 | $ | 930 | $ | 586 | $ | 3,313 | |||||||||
Net income (loss) | $ | 117 | $ | 289 | $ | 218 | $ | (515 | ) | $ | 109 | ||||||||
Earnings (loss) per diluted share | $ | 0.09 | $ | 0.22 | $ | 0.17 | $ | (0.42 | ) | $ | 0.09 | ||||||||
2011: | |||||||||||||||||||
Net sales | $ | 2,686 | $ | 2,862 | $ | 2,787 | $ | 2,182 | $ | 10,517 | |||||||||
Gross margin | $ | 1,136 | $ | 1,189 | $ | 1,184 | $ | 852 | $ | 4,360 | |||||||||
Net income | $ | 506 | $ | 489 | $ | 476 | $ | 456 | $ | 1,926 | |||||||||
Earnings per diluted share | $ | 0.38 | $ | 0.37 | $ | 0.36 | $ | 0.34 | $ | 1.45 | |||||||||
114
INDEX TO EXHIBITS
These Exhibits are numbered in accordance with the Exhibit Table of Item 601 of Regulation S-K:
Incorporated by Reference | |||||
Exhibit No. | Description | Form | File No. | Exhibit No. | Filing Date |
3.1 | Certificate of Incorporation of Applied Materials, Inc., as amended and restated through March 10, 2009 | 10-Q | 000-06920 | 3.1 | 6/3/2009 |
3.2 | Certificate of Designation, Preferences and Rights of the Terms of the Series A Junior Participating Preferred Stock dated as of July 9, 1999 | 10-Q | 000-06920 | 3(i)(a) | 9/14/1999 |
3.3 | Bylaws of Applied Materials, Inc., amended and restated to December 6, 2011 | 8-K | 000-06920 | 3.1 | 12/7/2011 |
4.1 | Form of Indenture (including form of debt security) between Applied Materials, Inc. and Harris Trust Company of California, as Trustee | 8-K | 000-06920 | 4.1 | 8/17/1994 |
4.2 | Indenture, dated June 8, 2011, by and between Applied Materials, Inc. and U.S. Bank National Association, as Trustee | 8-K | 000-06920 | 4.1 | 6/10/2011 |
4.3 | First Supplemental Indenture, dated June 8, 2011, by and between Applied Materials, Inc. and U.S. Bank National Association, as Trustee | 8-K | 000-06920 | 4.2 | 6/10/2011 |
10.1* | Applied Materials, Inc. Executive Deferred Compensation Plan, as amended and restated on April 1, 1995 | 10-Q | 000-06920 | 10.24 | 6/7/1995 |
10.2* | Amendment No. 1 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.1 | 9/9/1998 |
10.3* | Amendment No. 2 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.2 | 9/9/1998 |
10.4 | Form of Indemnification Agreement between Applied Materials, Inc. and Non-Employee Directors | 10-K | 000-06920 | 10.44 | 1/31/2000 |
10.5 | Form of Indemnification Agreement between Applied Materials, Inc. and certain of its officers | 10-K | 000-06920 | 10.46 | 1/31/2000 |
10.6* | Applied Materials, Inc. 2000 Global Equity Incentive Plan, amended and restated to April 16, 2002 | 10-K | 000-06920 | 10.31 | 1/23/2003 |
10.7 | Applied Materials, Inc. Profit Sharing Scheme (Ireland) | S-8 | 333-45011 | 4.1 | 1/27/1998 |
10.8* | Term Sheet for employment of Michael R. Splinter, as amended and restated December 8, 2008 | 10-Q | 000-06920 | 10.57 | 3/3/2009 |
10.9* | Applied Materials, Inc. Nonemployee Director Share Purchase Plan | 10-Q | 000-06920 | 10.42 | 5/31/2005 |
10.10* | Election Form to Receive Shares in lieu of Retainer and/or Meeting Fees for use under the Applied Materials, Inc. Nonemployee Director Share Purchase Plan | 10-Q | 000-06920 | 10.43 | 5/31/2005 |
10.11* | Applied Materials, Inc. amended and restated Relocation Policy | 8-K | 000-06920 | 10.46 | 10/31/2005 |
10.12* | Amendment No. 3 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-K | 000-06920 | 10.46 | 12/14/2005 |
10.13* | Amendment No. 4 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-K | 000-06920 | 10.47 | 12/14/2005 |
10.14* | Form of Non-Qualified Stock Option Grant Agreement for use under the Applied Materials Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.53 | 8/31/2006 |
10.15* | Form of Non-Qualified Stock Option Grant Agreement for use under the Applied Materials, Inc. 2000 Global Equity Incentive Plan, as amended | 10-Q | 000-06920 | 10.54 | 8/31/2006 |
10.16* | Applied Materials, Inc. Employee Financial Assistance Plan, amended and restated as of December 18, 2008 | 10-Q | 000-06920 | 10.58 | 3/3/2009 |
115
Incorporated by Reference | |||||
Exhibit No. | Description | Form | File No. | Exhibit No. | Filing Date |
10.17* | Form of Non-Qualified Stock Option Grant Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.45 | 5/30/2007 |
10.18* | Form of Non-Qualified Stock Option Grant Agreement for use under the Applied Materials, Inc. 2000 Global Equity Incentive Plan, as amended | 10-Q | 000-06920 | 10.46 | 5/30/2007 |
10.19* | Applied Materials, Inc. amended and restated 2005 Executive Deferred Compensation Plan | 8-K | 000-06920 | 10.49 | 7/13/2007 |
10.20* | Form of Performance Shares Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-K | 000-06920 | 10.48 | 12/14/2007 |
10.21* | Form of Performance Shares Agreement for Nonemployee Directors for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-K | 000-06920 | 10.49 | 12/14/2007 |
10.22* | Form of Non-Qualified Stock Option Grant Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-K | 000-06920 | 10.50 | 12/14/2007 |
10.23* | Form of Restricted Stock Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-K | 000-06920 | 10.51 | 12/14/2007 |
10.24* | Form of Non-Qualified Stock Option Grant Agreement for use under the Applied Materials, Inc. 2000 Global Equity Incentive Plan, as amended | 10-K | 000-06920 | 10.52 | 12/14/2007 |
10.25* | Form of Restricted Stock Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.57 | 8/29/2008 |
10.26 | Deed of Amendment to Applied Materials Profit Sharing Scheme, dated February 7, 2006, to amend Clause 20 of the Trust Deed thereunder | 10-K | 000-06920 | 10.48 | 12/12/2008 |
10.27 | Deed of Amendment to Applied Materials Profit Sharing Scheme, dated February 7, 2006, to amend the definition of Eligible Employee in the First Schedule to the Trust Deed thereunder. | 10-K | 000-06920 | 10.49 | 12/12/2008 |
10.28* | Amendment No. 5 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-K | 000-06920 | 10.50 | 12/12/2008 |
10.29* | Amendment No. 6 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.59 | 3/3/2009 |
10.30* | Amendment No. 1 to the Applied Materials, Inc. 2005 Executive Deferred Compensation Plan | 10-K | 000-06920 | 10.51 | 12/12/2008 |
10.31* | Amendment No. 2 to the Applied Materials, Inc. 2005 Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.60 | 3/3/2009 |
10.32* | Form of Performance Shares Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-K | 000-06920 | 10.56 | 12/12/2008 |
10.33* | Form of Performance Shares Agreement for Nonemployee Directors for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.61 | 3/3/2009 |
10.34* | Form of Non-Qualified Stock Option Agreement for Employees for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.63 | 3/3/2009 |
10.35* | Form of Non-Qualified Stock Option Agreement for use under the Applied Materials, Inc. 2000 Global Equity Incentive Plan, as amended | 10-Q | 000-06920 | 10.64 | 3/3/2009 |
10.36* | Amendment No. 7 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.67 | 6/9/2010 |
116
Incorporated by Reference | |||||
Exhibit No. | Description | Form | File No. | Exhibit No. | Filing Date |
10.37* | Amendment No. 3 to the Applied Materials, Inc. 2005 Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.68 | 6/9/2010 |
10.38* | Form of Performance Share Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.71 | 6/9/2010 |
10.39* | Form of Restricted Stock Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.72 | 6/9/2010 |
10.40* | Applied Materials, Inc. Applied Incentive Plan, amended and restated effective August 13, 2010 | 10-K | 000-06920 | 10.59 | 12/10/2010 |
10.41* | Amendment No. 8 to the Applied Materials, Inc. Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.60 | 2/28/2011 |
10.42* | Amendment No. 4 to the Applied Materials, Inc. 2005 Executive Deferred Compensation Plan | 10-Q | 000-06920 | 10.61 | 2/28/2011 |
10.43 | Settlement Agreement between Applied Materials, Inc. and Samsung Electronics Co., Ltd. dated November 1, 2010 (Confidential treatment has been granted for the redacted portions of the agreement) | 10-Q/A | 000-06920 | 10.62 | 5/19/2011 |
10.44 | Credit Agreement, dated as of May 25, 2011, among Applied Materials, Inc., JPMorgan Chase Bank, N.A., as administrative agent, and other lenders named therein | 10-Q/A | 000-06920 | 10.64 | 11/18/2011 |
10.45* | Form of Performance Unit Agreement for use under the Applied Materials, Inc. Employee Stock Incentive Plan, as amended | 10-Q | 000-06920 | 10.1 | 2/27/2012 |
10.46* | Applied Materials, Inc. Employee Stock Incentive Plan, amended and restated effective March 6, 2012 | 8-K | 000-06920 | 10.1 | 3/9/2012 |
10.47* | Applied Materials, Inc. Senior Executive Bonus Plan, amended and restated effective March 6, 2012 | 8-K | 000-06920 | 10.2 | 3/9/2012 |
10.48* | Form of Restricted Stock Unit Agreement for use under the amended and restated Applied Materials, Inc. Employee Stock Incentive Plan | 10-Q | 000-06920 | 10.3 | 5/24/2012 |
10.49* | Form of Restricted Stock Unit Agreement for Nonemployee Directors for use under the amended and restated Applied Materials, Inc. Employee Stock Incentive Plan | 10-Q | 000-06920 | 10.4 | 5/24/2012 |
10.50* | Form of Performance Shares Agreement for use under the amended and restated Applied Materials, Inc. Employee Stock Incentive Plan | 10-Q | 000-06920 | 10.5 | 5/24/2012 |
10.51 | Amendment No. 1 and Extension Agreement, dated as of May 25, 2012, to Credit Agreement, dated as of May 25, 2011, among Applied Materials, Inc., JPMorgan Chase Bank, N.A. as administrative agent, and other lenders named therein | 8-K | 000-06920 | 10.1 | 5/30/2012 |
10.52* | Offer Letter, dated June 14, 2012, between Applied Materials, Inc. and Gary E. Dickerson | 10-Q | 000-06920 | 10.2 | 8/23/2012 |
10.53* | Form of Restricted Stock Agreement for use under the amended and restated Applied Materials, Inc. Employee Stock Incentive Plan | 10-Q | 000-06920 | 10.3 | 8/23/2012 |
10.54* | Applied Materials, Inc. Employees' Stock Purchase Plan, amended and restated effective October 28, 2012† | ||||
10.55* | Applied Materials, Inc. Stock Purchase Plan for Offshore Employees, amended and restated effective October 28, 2012† | ||||
21 | Subsidiaries of Applied Materials, Inc. † | ||||
23 | Consent of Independent Registered Public Accounting Firm, KPMG LLP† | ||||
24 | Power of Attorney† | ||||
117
Incorporated by Reference | |||||
Exhibit No. | Description | Form | File No. | Exhibit No. | Filing Date |
31.1 | Certification of Chief Executive Officer Pursuant to Section 302 of the Sarbanes-Oxley Act of 2002† | ||||
31.2 | Certification of Chief Financial Officer Pursuant to Section 302 of the Sarbanes-Oxley Act of 2002† | ||||
32.1 | Certification Pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002‡ | ||||
32.2 | Certification Pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002‡ | ||||
101.INS | XBRL Instance Document‡ | ||||
101.SCH | XBRL Taxonomy Extension Schema Document‡ | ||||
101.CAL | XBRL Taxonomy Extension Calculation Linkbase Document‡ | ||||
101.DEF | XBRL Taxonomy Extension Definition Linkbase Document‡ | ||||
101.LAB | XBRL Taxonomy Extension Label Linkbase Document‡ | ||||
101.PRE | XBRL Taxonomy Extension Presentation Linkbase Document‡ | ||||
* | Indicates a management contract or compensatory plan or arrangement, as required by Item 15(a)3. |
† | Filed herewith. |
‡ | Furnished herewith. |
118
SIGNATURES
Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.
APPLIED MATERIALS, INC. | |
By: | /S/ MICHAEL R. SPLINTER |
Michael R. Splinter | |
Chief Executive Officer | |
Dated: December 5, 2012
Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons on behalf of the registrant and in the capacities and on the dates indicated.
Title | Date | |
/S/ MICHAEL R. SPLINTER | Chief Executive Officer (Principal Executive Officer) | December 5, 2012 |
Michael R. Splinter | ||
/S/ GEORGE S. DAVIS | Executive Vice President, Chief Financial Officer (Principal Financial Officer) | December 5, 2012 |
George S. Davis | ||
/S/ THOMAS S. TIMKO | Corporate Vice President, Corporate Controller and Chief Accounting Officer (Principal Accounting Officer) | December 5, 2012 |
Thomas S. Timko | ||
Directors: | ||
* | ||
Michael R. Splinter | Chairman of the Board | December 5, 2012 |
* | ||
Aart J. de Geus | Director | December 5, 2012 |
* | ||
Stephen R. Forrest | Director | December 5, 2012 |
* | ||
Thomas J. Iannotti | Director | December 5, 2012 |
* | ||
Susan M. James | Director | December 5, 2012 |
* | ||
Alexander A. Karsner | Director | December 5, 2012 |
* | ||
Gerhard H. Parker | Director | December 5, 2012 |
* | ||
Dennis D. Powell | Director | December 5, 2012 |
* | ||
Willem P. Roelandts | Director | December 5, 2012 |
* | ||
James E. Rogers | Director | December 5, 2012 |
* | ||
Robert H. Swan | Director | December 5, 2012 |
Representing a majority of the members of the Board of Directors.
* By | /s/ MICHAEL R. SPLINTER |
Michael R. Splinter | |
Attorney-in-Fact** | |
** | By authority of the power of attorney filed herewith. |
119
Similar companies
See also TAIWAN SEMICONDUCTOR MANUFACTURING CO LTDSee also NVIDIA CORP - Annual report 2023 (10-K 2023-01-29) Annual report 2023 (10-Q 2023-07-30)
See also Broadcom Inc. - Annual report 2022 (10-K 2022-10-30) Annual report 2023 (10-Q 2023-07-30)
See also TEXAS INSTRUMENTS INC - Annual report 2022 (10-K 2022-12-31) Annual report 2024 (10-Q 2024-03-31)
See also Ascent Solar Technologies, Inc. - Annual report 2022 (10-K 2022-12-31) Annual report 2023 (10-Q 2023-09-30)