Annual Statements Open main menu

VEECO INSTRUMENTS INC - Annual Report: 2019 (Form 10-K)

Table of Contents

UNITED STATES

SECURITIES AND EXCHANGE COMMISSION

Washington, D.C. 20549

FORM 10-K

(Mark One)

ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934

For the fiscal year ended December 31, 2019

OR

TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934

Commission file number 0-16244

VEECO INSTRUMENTS INC.

(Exact Name of Registrant as Specified in Its Charter)

Delaware

11-2989601

(State or Other Jurisdiction of Incorporation or Organization)

(I.R.S. Employer Identification No.)

Terminal Drive

Plainview, New York

11803

(Address of Principal Executive Offices)

(Zip Code)

Registrant’s telephone number, including area code:

(516677-0200

Securities registered pursuant to Section 12(b) of the Act:

Title of each class

Trading Symbol(s)

Name of each exchange on which registered

Common Stock, par value $0.01 per share

VECO

The NASDAQ Global Select Market

Securities registered pursuant to Section 12(g) of the Act: None

Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes No

Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes No

Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes No

Indicate by check mark whether the registrant has submitted electronically every Interactive Data File required to be submitted pursuant to Rule 405 of Regulation S-T (§232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit such files). Yes No

Indicate by check mark whether the Registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, smaller reporting company, or an emerging growth company. See the definitions of “large accelerated filer,” “accelerated filer,” “smaller reporting company,” and “emerging growth company” in Rule 12b-2 of the Exchange Act.

Large accelerated filer 

Accelerated filer

Non-accelerated filer 

Smaller reporting company

Emerging growth company

If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act.

Indicate by check mark whether the Registrant is a shell company (as defined in Rule 12b-2 of the Act). Yes No

The aggregate market value of the common stock held by non-affiliates of the registrant at June 28, 2019 (the last business day of the registrant’s most recently completed second quarter) was $584,427,830 based on the closing price of $12.22 on the NASDAQ Global Select Market on that date.

As of February 14, 2020, there were 49,000,023 shares of the registrant’s common stock, par value $0.01 per share, outstanding.

DOCUMENTS INCORPORATED BY REFERENCE

Certain portions of the definitive Proxy Statement to be used in connection with the Registrant’s 2020 Annual Meeting of Stockholders are incorporated by reference into Part III of this Form 10-K.

Table of Contents

VEECO INSTRUMENTS INC.

INDEX

PART I

3

Item 1. Business

3

Item 1A. Risk Factors

9

Item 1B. Unresolved Staff Comments

24

Item 2. Properties

25

Item 3. Legal Proceedings

25

Item 4. Mine Safety Disclosures

25

PART II

26

Item 5. Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities

26

Stock Performance Graph

27

Item 6. Selected Financial Data

28

Item 7. Management’s Discussion and Analysis of Financial Condition and Results of Operations

29

Item 7A. Quantitative and Qualitative Disclosures about Market Risk

38

Item 8. Financial Statements and Supplementary Data

38

Item 9. Changes in and Disagreements with Accountants on Accounting and Financial Disclosure

38

Item 9A. Controls and Procedures

39

Item 9B. Other Information

42

PART III

42

Item 10. Directors, Executive Officers and Corporate Governance

42

Item 11. Executive Compensation

42

Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters

42

Item 13. Certain Relationships and Related Transactions, and Director Independence

42

Item 14. Principal Accounting Fees and Services

42

PART IV

43

Item 15. Exhibits, Financial Statement Schedules

43

SIGNATURES

46

2

Table of Contents

This Annual Report on Form 10-K (“Form 10-K”) contains certain forward-looking information relating to Veeco Instruments Inc. (together with its consolidated subsidiaries, “Veeco,” the “Company,” “Registrant,” “we,” “our,” or “us,” unless the context indicates otherwise) that is based on the beliefs of, and assumptions made by, our management as well as information currently available to management. When used in this Form 10-K, the words “believes,” “anticipates,” “expects,” “estimates,” “targets,” “plans,” “intends,” “will,” and similar expressions relating to the future are intended to identify forward-looking information. Discussions containing such forward-looking statements may be found in Part I, Items 1 and 3, Part II, Items 7 and 7A hereof, as well as within this Form 10-K generally. This forward-looking information reflects our current views with respect to future events and is subject to certain risks, uncertainties, and assumptions, some of which are described under the caption “Risk Factors” in Part I, Item 1A, and elsewhere in this Form 10-K. Should one or more of these risks or uncertainties occur, or should our assumptions prove incorrect, actual results may vary materially from the forward-looking information described in this Form 10-K as believed, anticipated, expected, estimated, targeted, planned, or similarly identified. We do not undertake any obligation to update any forward-looking statements to reflect future events or circumstances after the date of such statements.

PART I

Item 1. Business

Business Description and Overview

Headquartered in Plainview, New York, we were organized as a Delaware corporation in 1989. We are an innovative manufacturer of semiconductor process equipment which solve an array of challenging materials engineering problems for our customers. Our comprehensive collection of ion beam, laser annealing, lithography, MOCVD (metal organic chemical vapor deposition), MBE (molecular beam epitaxy), ALD (atomic layer deposition) and single wafer wet etch and clean technologies play an integral role in the fabrication of key devices that are enabling the 4th industrial revolution of all things connected. Such devices include leading node application processors for mobile devices, thin film magnetic heads for hard disk drives in data storage, photonics devices for 3D sensing, advanced displays and high-speed communications, radio frequency (“RF”) filters and power amplifiers for fifth generation (“5G”) networks and mobile electronics. In close partnership with our customers, we combine decades of applications and materials know-how with leading-edge systems engineering to deliver high-volume manufacturing solutions with superior cost of ownership. Serving a global and highly interconnected customer base, we have comprehensive sales and service operations across the Asia-Pacific region, Europe, and North America to directly address our customers’ needs and maximize our system uptime.

Our priorities are:

Focus on our products - Innovate by providing differentiated semiconductor and thin film process equipment to address our customers’ challenging materials engineering problems for current production requirements and next generation product development roadmaps; Invest in focused research and development in markets that we believe provide significant growth opportunities or are at an inflection point, including compound semiconductor, leading edge front-end semiconductor, and advanced packaging; Maintain strength in our foundational businesses, including our data storage and service offerings, and sales to universities and research institutions;

Extend our core technologies - Penetrate new markets by leveraging our sales channel and local process applications support teams to build strong strategic relationships with leading customers; Expanding our services portfolio to improve the performance of our systems, reduce our customers’ cost of ownership, and improve customer satisfaction; Cross-selling our diverse product portfolio across our broad customer base and into new markets, such as front-end semiconductor, photonics, and 5G RF;

Strengthen – Improve profitability by selectively reducing operating expenses and delivering improved gross margins, resulting from optimizing manufacturing costs and improving product mix.

3

Table of Contents

Our products are purchased by semiconductor and thin film process equipment customers in the following four markets: 1) Front-End Semiconductor; 2) Advanced Packaging, MEMS & RF Filters; 3) LED Lighting, Display & Compound Semiconductor; and 4) Scientific & Industrial.

Markets

Our array of process equipment systems are used in the production of a broad range of microelectronic components, including RF filters and amplifiers, power electronics, thin film magnetic heads, laser diodes, 3D NAND, DRAM, logic, LEDs (including mini- and micro-LEDs), micro-electro mechanical systems (“MEMS”), and other semiconductor devices. Many of our systems are used to directly deposit advanced materials critical to the operation of the device and some of our systems are used in cleaning and surface preparation as well as the precision removal of critical materials. We are also a leader in systems used in the advanced packaging process flow of microelectronic components such as flip chip, Fan-Out Wafer Level Packaging (“FOWLP”), and other wafer level packaging approaches used in the modern integration of diverse semiconductor products, especially used in consumer electronics. In general, our customers purchase our systems to both produce current-generation devices in volume and to develop next-generation products which deliver more efficient, cost-effective, and advanced technological solutions. We operate in several highly cyclical business environments, and our customers’ buying patterns are dependent upon industry trends and consumer buying patterns for consumer electronics. As our products are sold into multiple markets, the following discussion focuses on the trends that most influence our business within each of those markets.

Front-End Semiconductor

Front-End Semiconductor refers to early process steps where transistors are formed directly on silicon. There are many different process steps in forming integrated circuits, such as Deposition, Etching, Masking, and Doping, where the microchips are created but still remain on the silicon wafer. As device architecture continue to shrink with advanced nodes, more precise process control is paramount to achieving high yields and competitive cost. Our Laser Spike Annealing (“LSA”) systems enable precision doping of materials at a controlled temperature in the semiconductor manufacturing process and is qualified and deployed in several advanced node applications. Our Ion Beam Etch (“IBE”) for front-end semiconductor has been demonstrated in Spin Torque Transfer Magnetic Random Access Memory (“STT-MRAM”) applications. STT-MRAM has many benefits over traditional random access memory such as its non-volatility, speed, endurance, and power consumption. Our Ion Beam Deposition (“IBD”) products have been adopted for the manufacturing of Extreme Ultraviolet (“EUV”) mask blanks. Our ability to precisely deposit high quality films with extremely low particulate levels make our IBD technology ideal for manufacturing defect-free EUV photomask blanks. The front-end semiconductor industry is in the process of adopting EUV lithography to meet leading edge device requirements. Future growth will depend on overall adoption of EUV lithography by Independent Device Manufacturers (“IDMs”) and Semiconductor Foundries (“Foundries”).

Advanced Packaging, MEMS & RF Filters

Advanced Packaging includes a portfolio of wafer-level assembly technologies that enable improved performance of electronic products, such as smartphones, high-end servers, and graphical processors.

Demand for higher performance, increased functionality, smaller form factors, and lower power consumption in applications such as Artificial Intelligence in mobile devices, consumer electronics, and high-performance computing is driving the adoption of advanced packaging technologies. Foundries, IDMs, and Outsourced Semiconductor Assembly and Test (“OSATs”) companies are implementing multiple advanced packaging approaches including FOWLP, which has been deployed in high-volume manufacturing, and copper-pillar to enable stacked memory devices. These demand drivers in Advanced Packaging are encouraging as our Lithography and wet etch and clean systems enable several process steps for Advanced Packaging.

MEMS devices are used for an increasing number of applications, including accelerometers for automobile airbags, pressure sensors for medical uses, and gyroscopes for a variety of consumer products, such as gaming consoles and mobile devices.

4

Table of Contents

One of the fastest growing MEMS applications has been RF filters for mobile devices, driven by increasingly complex wireless standards, the proliferation of an increasing number of communication bands, the exponential growth of mobile data, and carrier aggregation. These trends are positive for us, particularly for our wet etch and clean products, where our technology is enabling some of the most challenging process steps, as well as our IBE and MBE systems, which are used to create Bulk Acoustic Wave (“BAW”) and Surface Acoustic Wave (“SAW”) RF filters.

LED Lighting, Display & Compound Semiconductor

MOCVD technology is important in the manufacturing of GaN based LEDs for general lighting and for red, orange, and yellow (“ROY”) LEDs, which are used increasingly for fine-pitch digital signage and automotive applications. For these applications, our MOCVD technology is used to deposit highly uniform Arsenides and Phosphides (“As/P”) films which create amber and red output colors.

The Display market refers to LEDs, mini-LEDs, or micro-LEDs used for displays. Mini-LEDs are larger than micro-LEDs and a recent trend for manufacturers has been to use mini-LEDs to backlight LCD displays in a similar but more effective manner than traditionally LED-backlit LCD displays, requiring many more mini-LEDs per display. A micro-LED display is a new approach which uses an array of red, blue, and green micro-LEDs to directly display an image without motion blur or image retention, and with improved brightness, darker blacks, and wider viewing angles. Manufacturing requirements for micro-LEDs are more stringent than normal LEDs. There are many manufacturing challenges for our customers to produce micro-LED displays, however, we believe our MOCVD systems are well suited to serve this market.

The Compound Semiconductor market broadly refers to the deposition of GaN or As/P based thin film compounds on a variety of substrates including Silicon, Gallium Arsenide (“GaAs”), Indium Phosphide (“InP”), and Silicon Carbide (“SiC”) to enable a variety of power electronics, RF, and photonics devices. Future growth is anticipated in this market driven by optical communication and industrial applications requiring laser diodes, 3D sensing and world facing vertical cavity surface emitting lasers (“VCSELs”), 5G RF infrastructure adoption, and power electronics.

Demand for RF power amplifiers in mobile devices drives the RF device portion of the Compound Semiconductor market. Our GaN and As/P technologies are used to deposit critical thin film layers for the production of RF amplifiers. Our wet etch and clean systems are used for process steps such as metal lift off and photo resist strip for devices such as heterojunction bipolar transistors (“HBTs”) used in smartphones. We believe GaN and As/P based devices will enable the evolution of wireless technology to 5G. It is expected that the transition to 5G will take several years to become fully adopted.

Scientific & Industrial

The Scientific and Industrial market includes advanced materials research and a broad range of manufacturing applications including high-power fiber lasers, infrared detectors, thin film magnetic heads on hard disk drives (“HDDs”), and optical coatings.

Our MBE systems are used by scientific research organizations and universities to drive new discoveries in the areas of materials science. MBE enables precise epitaxial crystal growth for a very wide variety of materials, which supports the development of new performance materials used for emerging technologies. MBE technology is also used in the manufacturing of specialized, lower volume products such as high-power lasers and infrared sensors. Our fully automated process equipment systems create highly uniform, and high purity GaAs or InP film layers, which are critical to the performance of these devices. Our wet etch and clean systems are also used in the manufacture of infrared sensors.

Our IBD, IBE, Physical Vapor Deposition (“PVD”), and lapping and dicing tools are used in data storage applications, including HDDs that will continue to provide significant value for mass storage and will remain an important part of large capacity storage applications. This is especially true for data center applications where large volumes of data storage are required to serve an increasingly mobile population. In addition, our IBD tools are used to produce high quality optical films for multiple applications including laser mirrors, optical filters, and anti-reflective coatings. Our tools deposit thin layers of advanced materials on various substrates to alter how light is reflected and transmitted.

5

Table of Contents

Our atomic layer deposition (“ALD”) systems are sold into a variety of Scientific & Industrial market applications including optical, semi/nano-electronics, MEMS, nanostructures, and biomedical.

System Products

Ion Beam Deposition and Etch Systems

Our NEXUS® IBD systems use ion beam technology to deposit precise layers of thin films. IBD systems deposit high purity thin film layers and provide excellent uniformity and repeatability. Our NEXUS IBE systems utilize a charged particle beam consisting of ions to etch precise, complex features. The NEXUS systems may be included on our cluster system platform to allow either parallel or sequential deposition/etch processes. These systems are used primarily by data storage, semiconductor, and telecommunications device manufacturers in the fabrication of discrete and integrated microelectronic devices.

Our IBD technology has also been adapted to deposit precise layers in the manufacture of EUV lithography mask blanks. The semiconductor industry has been collectively working toward using extreme ultraviolet light in the lithography process to enable shrinking feature sizes in advanced node semiconductor manufacturing. We have been involved for many years in applying our technology, so our customers can produce mask blanks with low defect density.

Our SPECTOR® Ion Beam Sputtering system was developed for high precision optical coatings and offers manufacturers state of the art optical thickness monitoring, improved productivity, and target material utilization, for cutting-edge optical interference coating applications. We also provide a broad array of ion beam sources. These technologies are applicable in the HDD industry as well as for optical coatings and other end markets.

Laser Annealing Systems

The progression of Moore’s law has led semiconductor manufacturers to implement a variety of material and process changes to overcome the technical hurdles related to shrinking of feature sizes in integrated circuits. Along with new materials and smaller dimensions have come new process challenges. One such challenge has been new constraints on thermal annealing processes. One example is the thermal annealing of dopants for activation, in order to form the transistor junction, critical to the function and performance of a complementary metal-oxide semiconductor (“CMOS”) logic integrated circuit. In this and other thermal process steps, traditional lamp-based annealing techniques have challenges meeting the thermal budget (time/temperature regime) required by new materials and designs. Our LSA systems meet the industry demand for millisecond time-scale annealing, heating the wafer up to temperatures just below the silicon melting point over a range of ultra-short timeframes (microseconds to milliseconds), enabling thermal annealing solutions at the most advanced processing nodes. This unique annealing technology provides the solution to the difficult challenge of fabricating ultra-shallow junctions and highly activated source/drain contacts at these advanced logic nodes. In addition, our proprietary hardware design enables outstanding temperature uniformity across the wafer and die, by minimizing the pattern-density effect, thus reducing absorption variations.

We have also developed a next generation melt anneal technology (“MELT”) targeted for annealing advanced logic devices at advanced nodes. As devices scale, achieving the performance targets has become a challenge. To continue the roadmap, the industry is looking at new materials and the use of thermal processes that require nanosecond time-scale thermal annealing with temperatures exceeding the melting point. It is believed that nanosecond annealing will be required to meet the device targets at future nodes; the initial application being explored by customers is contact annealing aimed to improve source/drain contact resistance, which has become a performance bottleneck at the most advanced FinFET nodes, and as devices continue to scale, we see the application space for our melt product expanding.

Metal Organic Chemical Vapor Deposition Systems

MOCVD production systems are used to make GaN-based devices (such as blue and green LEDs) and As/P-based devices (such as ROY LEDs), which are used in television and computer display backlighting, general illumination, large area signage, specialty illumination, power electronics, and many other applications. Our proven TurboDisc®

6

Table of Contents

technology is at the heart of our MOCVD systems and it the key to enabling best-in-class deposition uniformity and yield performance and cost per wafer savings for our customers with a combined advantage of best operating uptime and low maintenance costs. In February of 2020, we introduced the Lumina platform for As/P deposition, based on Veeco’s industry leading MOCVD TurboDisc® technology. It features long campaigns and low defectivity for exceptional yield and flexibility. Our Propel™ series of MOCVD Systems (“Propel”) enables the development of highly-efficient GaN-based power electronic and RF devices. The Propel system offers 200mm and fully-automated 300mm technology and incorporates single-wafer reactor technology for outstanding film uniformity, yield, and device performance.

Advanced Packaging Lithography

We have a leading position in the Advanced Packaging lithography equipment market. The Advanced Packaging market is driven by the need for improved performance, reduced power consumption, and the ability to image smaller geometries for mobile and automotive applications. These applications continue to demand increasingly complex packaging techniques and heterogeneous device integration from IDMs, Foundries, and OSATs. Our Advanced Packaging tools are designed to optimize productivity for leading-edge 200mm and 300mm Advanced Packaging applications by delivering proven reliability and low cost of ownership in high-volume manufacturing environments. Our best-in-class yield coupled with outstanding resolution and depth of focus addresses all leading-edge requirements for Advanced Packaging applications such as redistribution layers (“RDLs”), Copper Pillar, Micro-Bump, FOWLP, interposers, and TSVs.

Single Wafer Wet Etch and Clean Systems

We offer single wafer wet etch and clean, and surface preparation systems which target high-growth segments in advanced packaging, MEMS, LEDs, and compound semiconductor markets. The WaferStorm® platform is based on our unique ImmJET™ technology, which provides improved performance at a lower cost of ownership than conventional wet bench-only or spray-only approaches. This highly flexible platform targets solvent-based cleaning applications that require a significant level of process control and flexibility. The WaferEtch® platform provides highly uniform, selective etching with onboard end-point detection for improved process control and yield in bumping applications. In addition, we have developed a state-of-the-art solution with the WaferEtch® platform to address the requirements of wafer thinning.

Molecular Beam Epitaxy Systems

Molecular beam epitaxy is the process of precisely depositing epitaxially-aligned atomically-thin crystalline layers, or epilayers, of elemental materials onto a substrate in an ultra-high vacuum environment. We are a leading supplier of MBE systems worldwide.

Our MBE systems, sources, and components are used to develop and manufacture compound semiconductor devices in a wide variety of applications such as high-power fiber lasers, infrared detectors, mobile phones, radar systems, high efficiency solar cells, and basic materials science research. For many compound semiconductors, MBE is the critical step of the fabrication process, ultimately determining device functionality and overall performance. We offer a full complement of MBE systems customized for the specific end application depositing on single 3” substrates up to fully automated production systems that can deposit on seven 6” substrates simultaneously. The GENxplor® MBE system creates high quality epitaxial layers and is ideal for cutting-edge research on a wide variety of materials including GaAs, antimonides, nitrides, and oxides on 3” diameter substrates. The GENxcel® MBE system extends the same performance of the GENxplor to 4” diameter substrates.

Atomic Layer Deposition and Other Deposition Systems

ALD is a thin-film deposition method in which a film is deposited on a substrate uniformly with precise control down to the atomic scale. Veeco offers a full suite of ALD systems for non-semiconductor front-end production applications across a wide range of markets and applications such as energy, optical, electronics, MEMS, nanostructures, and biomedical. We have recently developed a fully automated tool capable of managing fragile wafers in a continuous

7

Table of Contents

operational sequence. Other deposition systems include Physical Vapor Deposition, Diamond-Like Carbon Deposition, and Chemical Vapor Deposition Systems.

Sales and Service

We sell our products and services worldwide through various strategically located facilities in the United States, Europe, and the Asia-Pacific region. We believe that our customer service organization is a significant factor in our success. We provide service and support on a warranty, service contract, and an individual service-call basis. We believe that offering timely support creates stronger relationships with customers. Revenue from the sales of parts, upgrades, service, and support represented approximately 26%, 28%, and 27% of our net sales for the years ended December 31, 2019, 2018, and 2017, respectively. Parts and upgrade sales represented approximately 19%, 23%, and 22% of our net sales for those years, respectively, and service and support sales were 7%, 5%, and 5% respectively.

Customers

We sell our products to many of the world’s semiconductor, HDD, OSAT, LED, and MEMS manufacturers, as well as research centers and universities. We rely on certain principal customers for a significant portion of our sales. Sales to Seagate Technology accounted for more than 10% of our total net sales in 2019; sales to Focus Lighting Tech Co. accounted for more than 10% of our total net sales in 2018; and sales to OSRAM Opto Semiconductors accounted for more than 10% of our total net sales for 2017. If any principal customer discontinues its relationship with us or suffers economic difficulties, our business prospects, financial condition, and operating results could be materially and adversely affected.

Research and Development

Our research and development functions are focused on the timely creation of new products and enhancements to existing products, both of which are necessary to maintain our competitive position. We collaborate with our customers to align our technology and product roadmaps to customer requirements. Our research and development activities take place at our facilities in San Jose, California; Waltham, Massachusetts; St. Paul, Minnesota; Somerset, New Jersey; Plainview, New York; and Horsham, Pennsylvania.

Suppliers

We outsource certain functions to third parties, including the manufacture of several of our systems. While we rely on our outsourcing partners to perform their contracted functions, we maintain some level of internal manufacturing capability for these systems. Refer to Item 1A, “Risk Factors,” for a description of risks associated with our reliance on suppliers and outsourcing partners.

Backlog

Our backlog consists of orders for which we received a firm purchase order, a customer-confirmed shipment date within twelve months, and a deposit when required. Our backlog decreased to $267.6 million at December 31, 2019 from $288.3 million at December 31, 2018. During the year ended December 31, 2019, we decreased backlog by approximately $5.7 million relating to orders that no longer met our bookings criteria, as well as decreased backlog by approximately $6.7 million relating to a product line that was classified as held for sale at December 31, 2019.

Competition

In each of the markets that we serve, we face competition from established competitors, some of which have greater financial, engineering, and marketing resources than we do, as well as from smaller competitors. In addition, many of our products face competition from alternative technologies, some of which are more established than those used in our products. Significant factors for customer selection of our tools include system performance, accuracy, repeatability, ease of use, reliability, cost of ownership, and technical service and support. None of our competitors compete with us across all of our product lines.

8

Table of Contents

Our principal competitors include: Advanced Micro-Fabrication Equipment (AMEC); Aixtron; Applied Materials; Canon; Grand Plastics Technology Corporation; Leybold Optics; Mattson Technology; Onto Innovation; Riber; Scientech; Screen Semiconductor Solutions; and Shanghai Micro Electronics Equipment.

Intellectual Property

Our success depends in part on our proprietary technology, and we have over 1,000 patents and pending applications in the United States and other countries.

We have patents and exclusive and non-exclusive licenses to patents owned by others covering certain of our products, which we believe provide us with a competitive advantage. We have a policy of seeking patents on inventions concerning new products and improvements as part of our ongoing research, development, and manufacturing activities. We believe that there is no single patent or exclusive or non-exclusive license to patents owned by others that is critical to our operations, as the success of our business depends primarily on the technical expertise, innovation, customer satisfaction, and experience of our employees. Refer to Item 1A, “Risk Factors,” for a description of risks associated with intellectual property.

Employees

At December 31, 2019 we had 954 employees, of which there were 279 in manufacturing and testing, 86 in sales and marketing, 218 in service and product support, 240 in engineering and research and development, and 131 in information technology, general administration, and finance. The success of our future operations depends on our ability to recruit and retain engineers, technicians, and other highly skilled professionals who are in considerable demand. We feel that we have adequate programs in place to attract, motivate, and retain our employees. We monitor industry practices to make sure that our compensation and employee benefits remain competitive. We believe that our employee relations are good. Refer to Item 1A, “Risk Factors,” for a description of risks associated with employee retention and recruitment.

Available Information

Our corporate website address is www.veeco.com. All filings we make with the Securities and Exchange Commission (“SEC”), including our Annual Report on Form 10-K, our Quarterly Reports on Form 10-Q, our Current Reports on Form 8-K, our proxy statements and any amendments thereto filed or furnished pursuant to Section 13(a) or 15(d) of the Securities Exchange Act of 1934, as amended, are available for free in the Investor Relations section of our website as soon as reasonably practicable after they are filed with or furnished to the SEC. The reference to our website address does not constitute inclusion or incorporation by reference of the information contained on our website in this Form 10-K or other filings with the SEC, and the information contained on our website is not part of this document.

Item 1A. Risk Factors

Key Risk Factors That May Impact Future Results

Stockholders should carefully consider the risk factors described below. Any of these factors, many of which are beyond our control, could materially and adversely affect our business, financial condition, operating results, cash flow, and stock price.

Unfavorable market conditions have adversely affected, and may continue to adversely affect, our operating results.

Conditions of the markets in which we operate are volatile and have experienced, and may in the future continue to experience, significant deterioration. Demand for our equipment and services can change depending on several factors, including the nature and timing of technology inflections, the emergence of new technologies and competitors, production capacity and end-user demand, international trade barriers, access to affordable capital, and general economic conditions (including, for example, a prolonged U.S. government shutdown). Changing market conditions require that we continuously monitor and reassess our strategic resource allocation decisions. If we fail to properly adapt to changing business

9

Table of Contents

environments, we may lack the infrastructure and resources necessary to scale up our businesses to successfully compete during periods of growth, or we may incur excess fixed costs during periods of decreasing demand. Adverse market conditions relative to our products have resulted in, and may continue to result in:

reduced demand for our products;
rescheduling and cancellations of orders for our products, resulting in negative backlog adjustments;
asset impairments, including the impairment of goodwill and other intangible assets;
unfavorable changes in customer mix and product mix;
increased price competition leading to lower margin for our products;
increased competition from sellers of used equipment or lower-priced alternatives to our products;
increased inventory obsolescence;
disruptions in our supply chain as we reduce our purchasing volumes and limit our contract manufacturing operations;
higher operating costs as a percentage of revenues; and
an increase in uncollectable amounts due from our customers resulting in increased reserves for doubtful accounts and write-offs of accounts receivable.

If the markets in which we participate continue to experience deteriorations or downturns, this could negatively impact our sales and revenue generation, margins, operating expenses, and profitability.

We are exposed to the risks of operating a global business.

Most of our sales are to customers located outside of the United States, and we expect sales from non-U.S. markets to continue to represent a significant portion of our sales in the future. Our non-U.S. sales and operations are subject to risks inherent in conducting business outside the United States, many of which are beyond our control including:

political and social attitudes, laws, rules, regulations, and policies within countries that favor local companies over U.S. companies, including government-supported efforts to promote the development and growth of local competitors;
global trade issues and uncertainties with respect to trade policies, including tariffs, trade sanctions, and international trade disputes, and the ability to obtain required import and export licenses;
differing legal systems and standards of trade which may not honor our intellectual property rights and which may place us at a competitive disadvantage;
pressures from foreign customers and foreign governments for us to increase our operations and sourcing in the foreign country, which may necessitate the sharing of sensitive information and intellectual property rights;
multiple conflicting and changing governmental laws and regulations, including varying labor laws and tax regulations;
reliance on various information systems and information technology to conduct our business, making us vulnerable to additional cyberattacks by third parties or breaches due to employee error, misuse, or other causes, that could result in further business disruptions, loss of or damage to our intellectual property and confidential information (and that of our customers and other business partners), reputational harm, transaction errors, processing inefficiencies, or other adverse consequences;
regional economic downturns, varying foreign government support, unstable political environments, and other changes in foreign economic conditions (such as the United Kingdom’s planned departure from the European Union, commonly referred to as Brexit);

10

Table of Contents

the impact of public health epidemics on employees, suppliers, customers and the global economy, such as the recent outbreak of a novel strain of coronavirus first identified in Wuhan, Hubei Province, China;
difficulties in managing a global enterprise, including staffing, managing distributors and representatives, and repatriating cash;
longer sales cycles and difficulties in collecting accounts receivable; and
different customs and ways of doing business.

These challenges, many of which are associated with sales into the Asia-Pacific region, have had and may continue to have a material adverse effect on our business.

Changes in U.S. trade policy and export controls and ongoing trade disputes between the U.S. and China have adversely affected, and may continue to adversely affect, our business, results of operations, and financial condition.

The U.S. government has recently enacted changes in trade policy, including the imposition of tariffs on certain items, proposed tariffs on additional items, and new export controls. On May 15, 2019, the President of the United States issued an Executive Order that authorized the creation and implementation of controls over transactions involving Chinese and possibly other entities involving threats to U.S. national security. On the same day, the U.S. Commerce Department added Huawei (a multinational technology company with its headquarters in China) and many of its affiliates to the Entity List, which essentially requires U.S. companies and others to obtain licenses before providing commodities, software, and technology subject to the regulations. Further, the Trump Administration has expressed an intent to implement new regulations designed to address concerns about the export of emerging and foundational technologies to China, and additional controls on the export of items to China and other countries may be forthcoming. While the United States and China signed a preliminary “Phase One” trade agreement in January 2020, many uncertainties remain.

These new tariffs, and other changes in U.S. trade policy and export controls, as well as sanctions imposed by the U.S. against certain Chinese companies, have triggered retaliatory action by China and could trigger further retaliation. For example, China has instituted trade sanctions on certain U.S. goods, as well as other sanctions designed to deny U.S. companies access to critical raw materials. Also, China has provided, and is expected to continue to provide, significant assistance, financial and otherwise, to their domestic industries, including some of our competitors, and to intervene in support of national industries and/or competitors. We face increasing competition as a result of significant investment in the semiconductor industry by the Chinese government and various state-owned or affiliated entities that is intended to advance China's stated national policy objectives. In addition, the Chinese government may restrict us from participating in the China market or may prevent us from competing effectively with Chinese companies.

A “trade war” of this nature or other governmental action related to tariffs or international trade agreements or policies has the potential to adversely affect demand for our products, our costs, customers, suppliers, and/or the U.S. economy or certain sectors thereof and, in turn, may have a material adverse effect on our business, results of operations and financial condition.

Further, we hold inventory of products affected by the recent U.S. government actions and there is uncertainty relating to the disposition of this inventory. While we continue to take steps to mitigate our exposure to this developing situation, if the sale of these products is delayed or we are unable to return or dispose of our inventory on favorable economic terms, we may experience order cancellations, incur additional carrying costs for the inventory or otherwise record losses associated with the inventory.

In addition, we have experienced increasing difficulty and uncertainty in obtaining export licenses required to sell products to certain foreign customers. Further, the U.S. Bureau of Industry and Security (BIS) has indicated its intention to eliminate license exception CIV, which we utilize to facilitate the shipment of many of our products to customers in China. Without this license exception, we will be required to obtain export licenses from BIS prior to shipment. This would likely create delay and uncertainty, which would make our products less attractive to customers in China than competing products from suppliers in Europe and elsewhere which do not require an export license for shipment to China. This difficulty and uncertainty has adversely affected our ability to compete for and win business from customers in these foreign jurisdictions.

11

Table of Contents

Foreign customers affected by these and future U.S. government sanctions or threats of sanctions may respond by developing their own solutions to replace our products or by utilizing our foreign competitors’ products.

Tariff and trade policy discussions between the U.S., China and its other trading partners are ongoing and fluid. These tariffs and other policy changes are subject to a number of uncertainties as they are implemented. The ultimate reaction of other countries and the individuals in each of these countries may have an adverse impact on the U.S. and global economies, and our business, results of operations and financial condition.

Disruptions in our information technology systems or data security incidents could result in significant financial, legal, regulatory, business, and reputational harm to us.

We are increasingly dependent on information technology systems and infrastructure, including mobile technologies, to operate our business. In the ordinary course of our business, we collect, store, process and transmit significant amounts of sensitive information, including intellectual property, proprietary business information, personally-identifiable information of individuals, and other confidential information, including that of our customers and other business partners. It is critical that we do so in a secure manner to maintain the confidentiality, integrity, and availability of this sensitive information. We have also outsourced elements of our operations (including elements of our information technology infrastructure) to third parties, and as a result, we manage a number of third-party vendors who have access to our computer networks and our confidential information.

All information systems are subject to disruption, breach, or failure. Potential vulnerabilities can be exploited from inadvertent or intentional actions of our employees, third-party vendors, business partners, or by malicious third parties. Attacks of this nature are increasing in their frequency, levels of persistence, sophistication, and intensity, and are being conducted by sophisticated and organized groups and individuals with a wide range of expertise and motives (including industrial espionage), including organized criminal groups, nation states, and others. In addition to the extraction of sensitive information, attacks could include the deployment of harmful malware, ransomware, or other means which could affect service reliability and threaten the confidentiality, integrity, and availability of information. Significant disruptions in our, or our third-party vendors’, information technology systems or other data security incidents could adversely affect our business operations and result in the loss or misappropriation of, and unauthorized access to, sensitive information, which could result in financial, legal, regulatory, business, and reputational harm to us.

On November 1, 2018, we announced the discovery of an attack on our computer system by a highly-sophisticated actor. We notified law enforcement of the attack and retained forensic experts to assist with the investigation. It currently remains unclear whether we will be able to determine the extent of the breach or the potential impact on our operations. Also unclear is whether we will be able to identify who is responsible for the attack, or whether we will be able to pursue legal action or other remedies. The attack, including the expenses incurred to address it, may have an adverse effect on our results of operations and financial condition, may result in litigation, and may cause reputational harm.

While we are engaged in remediation and have implemented, and are continuing to implement, security measures intended to protect our information technology systems and infrastructure, there can be no assurance that such remediation and security measures will successfully prevent further security incidents. Additional information technology system disruptions, whether from attacks on our technology environment or from computer viruses, natural disasters, terrorism, war or other causes, could result in a material disruption in our business operations, force us to incur significant costs and engage in litigation, harm our reputation, and subject us to liability under laws, regulations, and contractual obligations.

We may be unable to effectively enforce and protect our intellectual property rights.

Our success as a company depends in part upon the protection of our intellectual property rights. We rely primarily on patent, copyright, trademark, and trade secret laws, as well as nondisclosure and confidentiality agreements and other methods, to protect our proprietary information, technologies, processes, and brand identity. We own various U.S. and international patents and have additional pending patent applications relating to certain of our products and technologies. The process of seeking patent protection is lengthy and expensive, and we cannot be certain that pending or future applications will actually result in issued patents or that issued patents will be of sufficient scope or strength to provide meaningful protection or commercial advantage. In addition, our intellectual property rights may be circumvented,

12

Table of Contents

invalidated, or rendered obsolete by the rapid pace of technological change, or through efforts by others to reverse engineer our products or design around patents that we own. Policing unauthorized use of our products and technologies is difficult and time consuming and the laws of other countries may not protect our proprietary rights as fully or as readily as U.S. laws. Given these limitations, our success will depend in part upon our ability to innovate ahead of our competitors.

In addition, our outsourcing efforts require that we share certain portions of our technology with our outsourcing partners, which poses additional risks of infringement and trade secret misappropriation. Infringement of our rights by a third party, possibly for purposes of developing and selling competing products, could result in uncompensated lost market and revenue opportunities. Similar exposure could result in the event that former employees seek to compete with us through their unauthorized use of our intellectual property and proprietary information. We cannot be certain that the protective steps and measures we have taken will prevent the misappropriation or unauthorized use of our proprietary information and technologies, nor can we be certain that applicable intellectual property laws, regulations, and policies will not be changed in a manner detrimental to the sale or use of our products.

Litigation has been required in the past, is currently ongoing, and may be required in the future, to enforce our intellectual property rights, protect our trade secrets, and to determine the validity and scope of proprietary rights of others. As a result of any such litigation, we could lose our ability to enforce one or more patents, incur substantial costs, and jeopardize relationships with current or prospective customers or suppliers. Any action we take to enforce or defend our intellectual property rights could absorb significant management time and attention, and could otherwise negatively impact our operating results.

We may be subject to claims of intellectual property infringement by others.

We receive communications from time to time from other parties asserting the existence of patent or other rights which they believe cover certain of our products. We also periodically receive notices from customers who believe that we are required to indemnify them for damages they may incur related to infringement claims made against these customers by third parties. Our customary practice is to evaluate such assertions and to consider the available alternatives, including whether to seek a license, if appropriate. However, we cannot ensure that licenses can be obtained or, if obtained, will be on acceptable terms or that costly litigation or other administrative proceedings will not occur. If we are not able to resolve a claim, negotiate a settlement of the matter, obtain necessary licenses on commercially reasonable terms, or successfully prosecute and defend our position, our business, financial condition, and results of operations could be materially and adversely affected.

We face significant competition.

We face significant competition throughout the world, which may increase as certain markets in which we operate continue to evolve. Some of our competitors have greater financial, engineering, manufacturing, and marketing resources than us. Other competitors are located in regions with lower labor costs and other reduced costs of operation. In addition, our ability to compete in foreign countries against local manufacturers may be hampered by nationalism, social attitudes, laws, regulations, and policies within such countries that favor local companies over U.S. companies or that are otherwise designed to promote the development and growth of local competitors. Furthermore, we face competition from smaller emerging equipment companies whose strategy is to provide a portion of the products and services we offer, with a focused approach on innovative technology for specialized markets. New product introductions or enhancements by us or our competitors could cause a decline in sales or loss of market acceptance of our existing or prior generation products. Increased competitive pressure could also lead to intensified price competition resulting in lower margins.

To remain competitive, we may enter into strategic alliances with customers, suppliers, and other third parties to explore new market opportunities and possible technological advancements. These alliances may require significant investments of capital and other resources and often involve the exchange of sensitive confidential information. The success of these alliances may depend on factors over which we have limited control and will likely require ongoing cooperation and good faith efforts from our strategic partners. Strategic alliances are inherently subject to significant risks, and the inability to effectively manage these risks could materially and adversely affect our business and operating results.

13

Table of Contents

We operate in industries characterized by rapid technological change.

Each of the industries in which we operate is subject to rapid technological change. Our ability to remain competitive depends on our ability to enhance existing products and develop and manufacture new products in a timely and cost effective manner and to accurately predict technology transitions. New product development commitments must be made well in advance of sales, and we must anticipate the future demand for products when selecting which development programs to fund and pursue. Our financial results depend on the successful introduction of new products, many of which require the achievement of increasingly stringent technical specifications. We may not be successful in selecting, developing, manufacturing, and marketing new products and new technologies or in enhancing our existing products. Our performance may be adversely affected if we are unable to accurately predict evolving market trends and related customer needs and to effectively allocate our resources among new and existing products and technologies.

We are also exposed to potential risks associated with unexpected product performance issues. Our product designs and manufacturing processes are complex and could contain unexpected product defects, especially when products are first introduced. Unexpected product performance issues could result in significant costs and damages, including increased service and warranty expenses, the need to provide product replacements or modifications, reimbursement for damages caused by our products, product recalls, related litigation, product write-offs, and disposal costs. Product defects could also result in personal injury or property damage, claims for which may exceed our existing insurance coverages. These and other costs could be substantial and our reputation could be harmed, resulting in a reduced demand for our products and a negative effect on our business, financial condition, and results of operations.

Certain of our sales are dependent on the demand for consumer electronics, which can experience significant volatility due to seasonal and other factors.

The demand for semiconductors, LEDs, HDDs and other devices is highly dependent on sales of consumer electronics, such as televisions, computers, tablets, digital video recorders, smartphones, cell phones, and other mobile devices. Factors that could influence the levels of spending on consumer electronic products include consumer confidence, access to credit, volatility in fuel and other energy costs, conditions in the residential real estate and mortgage markets, labor and healthcare costs, and other macroeconomic factors affecting consumer spending behavior. These and other economic factors have had and could continue to have a material adverse effect on the demand for our customers’ products and, in turn, on our customers’ demand for our products and services. Furthermore, in the past, some of our customers have overestimated their potential for market share growth. If this growth is overestimated, we may experience cancellations of orders in backlog, rescheduling of customer deliveries, obsolete inventory, and liabilities to our suppliers for products no longer needed.

In addition, the demand for our customers’ products can be even more volatile and unpredictable due to the possibility of competing technologies, such as flash memory as an alternative to HDDs. Unpredictable fluctuations in demand for our customers’ products or rapid shifts in demand from our customers’ products to alternative technologies could materially and adversely impact our future results of operations.

We have a concentrated customer base, located primarily in a limited number of regions, which operates in highly concentrated industries.

Our customer base continues to be highly concentrated. Orders from a relatively limited number of customers have accounted for, and likely will continue to account for, a substantial portion of our net sales, which may allow customers to demand pricing and other terms less favorable to us (including extended warranties, indemnification commitments, and the obligation to continue production of older products). Customer consolidation activity involving some of our largest customers could result in an even greater concentration of our sales in the future. Management changes at key customer accounts could result in a loss of future sales due to vendor preferences or other reasons and may introduce new challenges in managing customer relationships.

If a principal customer discontinues its relationship with us or suffers economic setbacks, our business, financial condition, and operating results could be materially and adversely affected. Our ability to increase sales in the future will depend in part upon our ability to obtain orders from new customers and we cannot be certain that we will be successful in these

14

Table of Contents

efforts. In addition, because a relatively small number of large manufacturers, many of whom are our customers, dominate the industries in which they operate, it may be especially difficult for us to replace these customers if we lose their business. A significant portion of orders in our backlog are orders from our principal customers.

In addition, a substantial investment is required by customers to install and integrate capital equipment into a production line. As a result, once a manufacturer has selected a particular vendor to supply capital equipment, the manufacturer will often attempt to consolidate its other capital equipment requirements with the same vendor. Accordingly, if a customer selects a competitor’s product over ours, we could experience difficulty selling to that customer for a significant period of time.

Furthermore, we do not have long-term contracts with our customers. As a result, our agreements with our customers do not provide assurance of future sales, and we are exposed to competitive price pressures on new orders we attempt to obtain.

Our customer base is also highly concentrated in terms of geography, and the majority of our sales are to customers located in a limited number of countries. Dependence upon sales emanating from a limited number of regions increases our risk of exposure to local difficulties and challenges, such as those associated with regional economic downturns, political instability, trade wars and other trade disruptions, fluctuating currency exchange rates, natural disasters, social unrest, pandemics, terrorism, and acts of war. Our reliance upon customer demand arising primarily from a limited number of countries could materially and adversely impact our future results of operations.

The cyclicality of the industries we serve directly affects our business.

Our business depends in large part upon the capital expenditures of manufacturers in our four key markets: Front-End Semiconductor; Advanced Packaging, MEMS & RF Filters; LED Lighting, Display & Compound Semiconductor; and Scientific & Industrial. We are subject to the business cycles of these industries, the timing, length, and volatility of which are difficult to predict. These industries have historically been highly cyclical and have experienced significant economic downturns in the last decade. As a capital equipment provider, our revenue depends in large part on the spending patterns of these customers, who often delay expenditures or cancel or reschedule orders in reaction to variations in their businesses or general economic conditions. In downturns, we must be able to quickly and effectively align our costs with prevailing market conditions, as well as motivate and retain key employees. However, because a portion of our costs are fixed, our ability to reduce expenses quickly in response to revenue shortfalls may be limited. Downturns in one or more of these industries have had, and will likely have, a material adverse effect on our business, financial condition, and operating results. Alternatively, during periods of rapid growth, we must be able to acquire and develop sufficient manufacturing capacity to meet customer demand and attract, hire, assimilate, and retain a sufficient number of qualified people. Our net sales and operating results may be negatively affected if our customers experience economic downturns or slowdowns in their businesses.

The timing of our orders, shipments, and revenue recognition may cause our quarterly operating results to fluctuate significantly.

We derive a substantial portion of our net sales in any fiscal period from the sale of a relatively small number of high-priced systems. As a result, the timing of recognition of revenue for a single transaction could have a material effect on our sales and operating results for a particular fiscal period. As is typical in our industry, orders and shipments often occur during the last few weeks of a quarter. As a result, a delay of only a week or two can impact which period revenue is reported and can cause volatility in our revenue for a given reporting period. Our quarterly results have fluctuated significantly in the past and we expect this trend to continue. If our orders, shipments, net sales, or operating results in a particular quarter do not meet expectations, our stock price may be adversely affected as well.

Our sales cycle is long and unpredictable.

Historically, we have experienced long and unpredictable sales cycles (the period between our initial contact with a potential customer and the time that we recognize revenue for resulting sales to that customer). It is not uncommon for our sales cycle to exceed twelve months. The timing of an order often depends on our customer’s capital expenditure budget, over which we have no control. In addition, the time it takes us to build a product to customer specifications typically

15

Table of Contents

ranges from three to six months. When coupled with the fluctuating amount of time required for shipment, installation, and final acceptance, our sales cycles often vary widely, and these variations can cause fluctuations in our operating results. As a result of our lengthy sales cycles, we may incur significant research, development, selling, general, and administrative expenses before we generate revenue for these products. We may never generate the anticipated revenue if a customer cancels or otherwise changes its purchase plans, which could have an adverse effect on our business.

We are now confronting many of these risks as we gain traction in the front-end semiconductor market, which is often characterized by long customer qualification times, typically twelve to eighteen months. Once qualified, the ramp to volume production can take an additional extended period of time, often twelve to twenty-four months. During these periods, little to no revenue will be recognized by us, while we will continue to incur research and development costs. Despite our efforts, our products may never be qualified and may never achieve design-tool-of-record (“DTOR”) or production-tool-of-record (“PTOR”) status, and our business, financial condition, and results of operations may be materially and adversely affected.

Our backlog is subject to customer cancellation or modification which could result in decreased sales, increased inventory obsolescence, and liabilities to our suppliers for products no longer needed.

Customer purchase orders may be cancelled or rescheduled by the customer, sometimes with limited or no penalties, which may result in increased or unrecoverable costs for the Company. We adjust our backlog for such cancellations, contract modifications, and delivery delays that result in a delivery period in excess of one year, among other items. A downturn in one or more of our businesses could result in an increase in order cancellations and postponements.

We write-off excess and obsolete inventory based on historical trends, future usage forecasts, and other factors including the amount of backlog we have on hand. If our backlog is canceled or modified, our estimates of future product demand may prove to be inaccurate, in which case we may have understated the write-off required for excess and obsolete inventory. In the future, if we determine that our inventory is overvalued, we will be required to recognize associated costs in our financial statements at the time of such determination. In addition, we place orders with our suppliers based on our customers’ orders. If our customers cancel their orders with us, we may not be able to cancel our orders with our suppliers. Any such charges could be materially adverse to our results of operations and financial condition.

We may be unable to obtain required export licenses for the sale of our products.

Products which are either manufactured in the United States or based on U.S. technology are subject to the U.S. Export Administration Regulations (“EAR”) when exported to and re-exported from international jurisdictions, in addition to the local jurisdiction’s export regulations applicable to individual shipments. Currently, our MOCVD, MBE, and certain other systems and products are controlled for export under the EAR. Licenses or proper license exceptions may be required for the shipment of our products to certain customers or countries (and, as noted above, the U.S. Bureau of Industry and Security has indicated its intention to eliminate license exception CIV, which we currently utilize to facilitate the shipment of many of our products to customers in China). Obtaining an export license or determining whether an export license exception exists often requires considerable effort by us and cooperation from the customer, which can add time to the order fulfillment process. We may be unable to obtain required export licenses or unable to qualify for export license exceptions and, as a result, we may be unable to export products to our customers and/or meet their servicing needs. The administrative processing, potential delay and risk of ultimately not obtaining required export approvals pose a particular disadvantage to us relative to our non-U.S. competitors who are not required to comply with U.S. export controls. Non-compliance with the EAR or other applicable export regulations could result in a wide range of penalties including the denial of export privileges, fines, criminal penalties, and the seizure of commodities. In the event that an export regulatory body determines that any of our shipments violate applicable export regulations, we could be fined significant sums and our export capabilities could be restricted, which could have a material adverse impact on our business.

Our operating results may be adversely affected by tightening credit markets.

As a global company with worldwide operations, we are subject to volatility and adverse consequences associated with economic downturns in different parts of the world. In the event of a downturn, many of our customers may delay or reduce their purchases of our products and services. If negative conditions in the credit markets prevent our customers from obtaining credit or necessary financing, product orders in these channels may decrease, which could result in lower revenue.

16

Table of Contents

In addition, we may experience cancellations of orders in backlog, rescheduling of customer deliveries, and attendant pricing pressures. If our suppliers face challenges in obtaining credit, in selling their products, or otherwise in operating their businesses, their ability to continue to supply materials to us may be negatively affected.

In addition, we finance some of our sales through trade credit. In addition to ongoing credit evaluations of our customers’ financial condition, we seek to mitigate our credit risk by obtaining deposits and letters of credit on certain of our sales arrangements. We could suffer significant losses if a customer whose accounts receivable we have not secured fails or is otherwise unable to pay us, or if financial institutions providing letters of credit become insolvent. A loss in collections on our accounts receivable would have a negative impact on our financial condition and results of operations.

Our failure to estimate customer demand accurately could result in inventory obsolescence, liabilities to our suppliers for products no longer needed, and manufacturing interruptions or delays which could affect our ability to meet customer demand.

The success of our business depends in part on our ability to accurately forecast and supply equipment and services that meet the rapidly changing technical and volume requirements of our customers. To meet these demands, we depend on the timely delivery of parts, components, and subassemblies from our suppliers. Uncertain worldwide economic conditions and market instabilities make it difficult for us (and our customers) to accurately forecast future product demand. If actual demand for our products is different than expected, we may purchase more or fewer parts than necessary or incur costs for canceling, postponing, or expediting delivery of parts. If we overestimate the demand for our products, excess inventory could result which could be subject to heavy price discounting, which could become obsolete, and which could subject us to liabilities to our suppliers for products no longer needed. Similarly, we may be harmed in the event that our competitors overestimate the demand for their products and engage in heavy price discounting practices as a result. In addition, the volatility of demand for capital equipment poses risks for companies in our supply chain, including challenges associated with inventory management and fluctuating working capital requirements.

Furthermore, certain key parts may be subject to long lead-times or may be obtainable only from a single supplier or limited group of suppliers, and some sourcing and assembly is provided by suppliers located in countries other than the United States. We may experience significant interruptions in our manufacturing operations, delays in our ability to timely deliver products or services, increased costs, or customer order cancellations as a result of:

the failure or inability of our suppliers to timely deliver quality parts;
volatility in the availability and cost of materials;
difficulties or delays in obtaining required import or export approvals;
information technology or infrastructure failures;
natural disasters such as earthquakes, tsunamis, floods, or storms; or
other causes such as regional economic downturns, international trade disruptions, pandemics, political instability, terrorism, or acts of war, which could result in delayed deliveries, manufacturing inefficiencies, increased costs, or order cancellations.

In addition, in the event of an unanticipated increase in demand for our products, our need to rapidly increase our business and manufacturing capacity may be limited by our working capital constraints and those of our suppliers, which may cause or exacerbate interruptions in our manufacturing and supply chain operations. Any or all of these factors could materially and adversely affect our business, financial condition, and results of operations.

Our failure to successfully manage our outsourcing activities or failure of our outsourcing partners to perform as anticipated could adversely affect our results of operations.

To better align our costs with market conditions, increase the percentage of variable costs relative to total costs, and to increase productivity and operational efficiency, we have outsourced certain functions to third parties, including the manufacture of several of our systems. While we maintain some level of internal manufacturing capability for these systems, we rely on our outsourcing partners to perform their contracted functions to allow us flexibility to adapt to

17

Table of Contents

changing market conditions, including periods of significantly diminished order volumes. If our outsourcing partners do not perform as required, or if our outsourcing efforts do not allow us to realize the intended cost savings and flexibility, our results of operations (and those of our third-party providers) may be adversely affected. Disputes and possibly litigation involving third party providers could result and we could suffer damage to our reputation. Dependence on contract manufacturing and outsourcing may also adversely affect our ability to bring new products to market. Although we attempt to select reputable providers, one or more of these providers could fail to perform as we expect. If we do not effectively manage our outsourcing efforts or if third party providers do not perform as anticipated, we may not realize the benefits of productivity improvements and we may experience operational difficulties, increased costs, manufacturing and installation interruptions or delays, inefficiencies in the structure and operation of our supply chain, loss of intellectual property rights, quality issues, increased product time-to-market, and an inefficient allocation of our human resources, any or all of which could materially and adversely affect our business, financial condition, and results of operations.

We rely on a limited number of suppliers, some of whom are our sole source for particular components.

Certain of the parts, components, and sub-assemblies included in our products are obtained from a single source or a limited group of suppliers. Our inability to develop alternative sources, as necessary, could result in a prolonged interruption in our ability to supply related products, a failure on our part to meet the demands our customers, and a significant increase in the price of related products, which could adversely affect our business, financial condition, and results of operations.

The price of our common shares is volatile and could decrease.

The stock market in general and the market for technology stocks in particular has experienced significant volatility. The trading price of our common shares has fluctuated significantly and could decline independent of the overall market, and shareholders could lose all or a substantial part of their investment. The market price of our common shares could continue to fluctuate in response to several factors, including among others:

difficult macroeconomic conditions, international trade disputes, unfavorable geopolitical events, and general stock market uncertainties, such as those occasioned by a global liquidity crisis and a failure of large financial institutions;
the emergence of competitors and competing technologies;
receipt of large orders or cancellations of orders for our products;
issues associated with the performance of our products, or the performance of our internal systems such as our customer relationship management (“CRM”) system or our enterprise resource planning (“ERP”) system;
actual or anticipated variations in our results of operations;
announcements of financial developments or technological innovations;
our failure to meet the performance estimates of investment research analysts;
changes in recommendations and financial estimates by investment research analysts, and decisions by investment research analysts to cease coverage of our company;
strategic transactions, such as acquisitions, divestitures, and spin-offs, and the results of our investment decisions;
our failure to successfully and timely implement cost reduction initiatives and restructuring activities, if and when required;
the commencement of, and rulings on, litigation and legal proceedings;
the dilutive impact of our Convertible Senior Notes; and
the occurrence of major catastrophic events.

Securities class action litigation is often brought against a company following periods of volatility in the market price of its securities. We have defended security class actions lawsuits in the past, and are currently defending such a lawsuit now.

18

Table of Contents

These lawsuits, if and when brought, can result in substantial costs and a diversion of management’s attention and resources, which can adversely affect our financial condition, results of operations, and liquidity.

We may be required to take impairment charges on assets.

We are required to assess goodwill and indefinite-lived intangible assets annually for impairment, or on an interim basis whenever certain events occur or circumstances change, such as an adverse change in business climate or a decline in the overall industry, that would more likely than not reduce the fair value below its carrying amount. We maintain a single reporting unit, and as such, if our stock price decreases to the point where our fair value, as determined by our adjusted market capitalization, is less than the carrying value of our single reporting unit, this would also indicate a potential impairment, and we may be required to record an impairment charge in that period, which could adversely affect our results of operations. Such an impairment charge was taken by the Company during the fourth quarter of 2018, in the amount of $122.8 million.

As part of our long term strategy, we may pursue future acquisitions of, or investments in, other companies or assets which could potentially increase our assets. We are required to test certain of our assets, including acquired intangible assets, property, plant, and equipment, and equity investments without readily observable market prices, for recoverability and impairment whenever there are indicators of impairment such as an adverse change in business climate. Adverse changes in business conditions or worse-than-expected performance by these acquired companies could negatively impact our estimates of future operations and result in impairment charges to these assets. For example, during the second quarter of 2018, we recorded an asset impairment charge of $252.3 million related to the intangible assets acquired as part of our acquisition of Ultratech, Inc. In addition, in the fourth quarter of 2019 we recorded asset impairment charges of $25.0 million, primarily related to our equity investments without readily observable market prices. If our assets are further impaired, our financial condition and results of operations could be materially and adversely affected.

Our inability to attract, retain, and motivate employees could have a material adverse effect on our business.

Our success depends in part upon our ability to attract, retain, and motivate employees, including those in executive, managerial, engineering and marketing positions, as well as highly skilled and qualified technical personnel. Attracting, retaining, and motivating such qualified personnel may be difficult due to challenging industry conditions, competition for such personnel by other technology companies, consolidations and relocations of operations, and workforce reductions, and there can be no assurance that we will be successful in recruiting or retaining key personnel. We have entered into employment agreements with certain key personnel but our inability to attract, retain, and motivate key personnel could have a material adverse effect on our business, financial condition, and results of operations.

We are exposed to risks associated with business combinations, acquisitions, strategic investments and divestitures.

We have completed several significant acquisitions and investments in the past and we will consider new opportunities in the future. Acquisitions and investments involve numerous risks, many of which are unpredictable and beyond our control, including the following:

difficulties and increased costs in integrating the personnel, operations, technologies, and products of acquired companies;
diversion of management’s attention and disruption of ongoing businesses;
the inability to complete proposed transactions as anticipated, resulting in obligations to pay professional and other expenses, including any applicable termination fees;
potential loss of key employees of acquired companies, especially if a relocation or change in responsibilities is involved;
difficulties in managing geographically dispersed operations in a cost effective manner;
the failure to realize expected synergies;
unknown, underestimated, and undisclosed commitments or liabilities;

19

Table of Contents

increased amortization expenses relating to intangible assets; and
other adverse effects on our business, including the potential impairment and write-down of amounts capitalized as intangible assets and goodwill as part of the acquisition, as a result of such matters as technological advancements or worse-than-expected performance by the acquired company.

If we issue equity securities to pay for an acquisition or investment, the ownership percentage of our then-current shareholders would be reduced and the value of the shares held by these shareholders could be diluted, which could adversely affect the price of our stock. If we use cash to pay for an acquisition or investment, the payment could significantly reduce the cash that would be available to fund our operations, pay our indebtedness, or be used for other purposes, which could have a negative effect on our business.

In addition, we continually assess the strategic fit of our businesses and may from time to time seek to divest portions of our business that no longer fit our strategic plan, such as the potential sale of a non-core product line that was classified as held for sale as of December 31, 2019. Divestitures involve significant risks and uncertainties, including the ability to sell such businesses at satisfactory prices, on acceptable terms, and in a timely manner. Divestitures may also disrupt other parts of our businesses, distract the attention of our management, result in a loss of key employees or customers, and require that we allocate internal resources that would otherwise be devoted to operating our existing businesses. Divestitures may expose us to unanticipated liabilities (including those arising from representations and warranties made to a buyer regarding the businesses) and to ongoing obligations to support the businesses following such divestitures, any and all of which could adversely affect our business, financial condition, and results of operations.

We are subject to internal control evaluations and attestation requirements of Section 404 of the Sarbanes-Oxley Act and any delays or difficulties in satisfying these requirements or negative reports concerning our internal controls could adversely affect our future results of operations and our stock price.

Pursuant to Section 404 of the Sarbanes-Oxley Act of 2002, we must include in our Annual Report on Form 10-K a report by management on the effectiveness of our internal control over financial reporting. Ongoing compliance with this requirement is complex, costly, time-consuming, and is subject to significant judgment. If our internal controls are ineffective or if our management does not timely assess the adequacy of such internal controls, our ability to file timely and accurate periodic reports may be impeded. Any delays in filing may cause us to face the following risks and concerns, among others:

concern on the part of our customers, partners, investors, and employees about our financial condition and filing delay status, including the potential loss of business opportunities;
significant time and expense required to complete delayed filings and the distraction of our senior management team and board of directors as we work to complete delayed filings;
investigations by the SEC and other regulatory authorities of the Company and our management;
limitations on our ability to raise capital or possible violations of existing debt covenants;
suspension or termination of our stock listing on The NASDAQ Global Select Market and the removal of our stock as a component of certain stock market indices; and
general reputational harm.

Any or all of the foregoing could result in the commencement of stockholder lawsuits against the Company. Any such litigation, as well as any proceedings that could arise as a result of a filing delay and the circumstances which gave rise to it, may be time consuming and expensive, may divert management attention from the conduct of our business, could have a material adverse effect on our business, financial condition, and results of operations, and may expose us to costly indemnification obligations to current or former officers, directors, or other personnel, regardless of the outcome of such matters, which may not be adequately covered by insurance.

20

Table of Contents

Changes in accounting pronouncements or taxation rules or practices may adversely affect our financial results.

Changes in accounting pronouncements or taxation rules or practices can have a significant effect on our reported results. New accounting pronouncements and taxation rules can have a material impact on revenue recognition practices, effective tax rates, results of operations, and our financial condition. In addition, varying interpretations of accounting pronouncements or taxation practices, and the questioning of our current or past practices (such as those associated with our transfer pricing), may adversely affect our reported financial results.

Our income taxes may change.

We are subject to income tax on a jurisdictional or legal entity basis and significant judgment is required in certain instances to allocate our taxable income to a jurisdiction and to determine the related income tax expense and benefits. Losses in one jurisdiction generally may not be used to offset profits in other jurisdictions. As a result, changes in the mix of our earnings (or losses) between jurisdictions, among other factors, could alter our overall effective income tax rate, possibly resulting in significant tax rate increases.

We are regularly audited by various tax authorities. Income tax audit assessments or changes in tax laws, regulations, or other interpretations may result in increased tax provisions which could materially affect our operating results in the period or periods in which such determinations are made or changes occur.

In addition, our effective tax rate could increase if we determine that it is no longer more likely than not that we are able to realize our remaining net deferred tax assets, if we are unable to generate sufficient future taxable income in certain jurisdictions, or if we are otherwise required to increase our valuation allowances against our deferred tax assets.

We have indebtedness in the form of convertible senior notes which could adversely affect our financial position, prevent us from implementing our strategy, and dilute the ownership interest of our existing shareholders.

In January of 2017, we issued $345 million of 2.70% Convertible Senior Notes due 2023 (“Convertible Senior Notes”). The Convertible Senior Notes are convertible into Company common stock at an initial conversion rate of 24.98 shares of Company common stock per $1,000 principal amount of the Convertible Senior Notes. The Company is obligated to repurchase the Convertible Senior Notes upon the occurrence of certain events described in the indenture relating to the Convertible Senior Notes. The degree to which we are leveraged could have negative consequences, including but not limited to the following:

we may be more vulnerable to economic downturns, less able to withstand competitive pressures, and less flexible in responding to changing business and economic conditions;
our ability to obtain additional financing in the future for working capital, capital expenditures, acquisitions, general corporate, and other purposes may be limited;
a substantial portion of our cash flows from operations in the future may be required for the payment of the principal amount of our existing indebtedness when it becomes due; and
we may elect to make cash payments upon any conversion of the Convertible Senior Notes, which would reduce our cash on hand.

Our ability to meet our payment obligations under the Convertible Senior Notes depends on our ability to generate significant cash flow in the future. This, to some extent, is subject to general economic, financial, competitive, legislative, regulatory, and other factors that are beyond our control. There can be no assurance that our business will generate cash flow from operations, or that additional capital will be available to us, in an amount sufficient for us to meet our debt payment obligations and to fund other liquidity needs. If we are unable to generate sufficient cash flow to service our debt obligations, we may need to refinance or restructure our debt, sell assets, reduce or delay capital investments, or seek to raise additional capital. If we are unable to implement one or more of these alternatives, we may be unable to meet our debt payment obligations, which could have a material adverse effect on our business, results of operations, and financial condition.

21

Table of Contents

Furthermore, if the Convertible Senior Notes are converted into shares of Company common stock, the issuance of additional shares of Company common stock would dilute the ownership interest of our existing shareholders and could have a dilutive effect on our net income per share to the extent that the price of our common stock exceeds the conversion price of the Convertible Senior Notes. In addition, any sales in the public market of our common stock issuable upon conversion of the Convertible Senior Notes could adversely affect prevailing market prices of our common stock.

The accounting method for convertible debt securities that may be settled in cash, such as the Convertible Senior Notes, could have a material effect on our reported financial results.

Under Accounting Standards Codification 470-20, Debt with Conversion and Other Options (“ASC 470-20”), an entity must separately account for the liability and equity components of certain convertible debt instruments (such as the Convertible Senior Notes) that may be settled entirely or partially in cash upon conversion in a manner that reflects the issuer’s economic interest cost. The effect of ASC 470-20 on the accounting for the Convertible Senior Notes is that the equity component is required to be included in the additional paid-in capital section of stockholders’ equity on our consolidated balance sheet, and the value of the equity component would be treated as original issue discount for purposes of accounting for the debt component of the Convertible Senior Notes. As a result, we will be required to record a greater amount of non-cash interest expense in current periods presented as a result of the amortization of the discounted carrying value of the Convertible Senior Notes to their face amount over the term of the Convertible Senior Notes. We will report lower net income in our financial results because ASC 470-20 will require interest to include both the current period’s amortization of the debt discount and the instrument’s coupon interest, which could adversely affect our financial results, the trading price of our common stock, and the trading price of the Convertible Senior Notes.

In addition, under certain circumstances, including our ability and intent to settle the convertible debt instruments in cash, convertible debt instruments (such as the Convertible Senior Notes) that may be settled entirely or partly in cash can be accounted for utilizing the treasury stock method, the effect of which is that the shares issuable upon conversion of the Convertible Senior Notes are not included in the calculation of diluted income per share except to the extent that the conversion value of the Convertible Senior Notes exceeds their principal amount. Under the treasury stock method, for diluted income per share purposes, the transaction is accounted for as if the number of shares of common stock that would be necessary to settle such excess, if we elected to settle such excess in shares, are issued. We cannot be sure that we will meet the criteria to utilize the treasury stock method in the future. If we are unable to utilize the treasury stock method, we would be required to apply the if-converted method. Under that method, diluted income per share would generally be calculated assuming that all the Convertible Senior Notes were converted solely into shares of our common stock at the beginning of the reporting period, unless the result would be anti-dilutive. If we are unable or otherwise elect not to use the treasury stock method in accounting for the shares issuable upon conversion of the Convertible Senior Notes, then our diluted income per share would be adversely affected.

In July 2019, the FASB issued an exposure draft that proposes to change the accounting for convertible debt instruments, such as the Convertible Senior Notes. Under the exposure draft, an entity may no longer be required to separately account for the liability and equity components of convertible debt instruments. This could have the impact of reducing non-cash interest expense, and thereby increasing net income. Additionally, as currently proposed, the treasury stock method for calculating earnings per share will no longer be allowed for convertible debt instruments whose principal amount may be settled using shares. Rather, the if-converted method may be required, which would adversely affect our diluted net income per share. We cannot be sure that the proposed changes in this exposure draft will be adopted, or will be adopted in their current format. We also cannot be sure whether other changes may be made to the current accounting standards related to the Convertible Senior Notes, or otherwise, that could have an adverse impact on our financial statements.

We are subject to foreign currency exchange risks.

We are exposed to foreign currency exchange rate risks that are inherent in our anticipated sales, sales and purchase commitments, and assets and liabilities that are denominated in currencies other than the U.S. dollar. Although we attempt to mitigate our exposure to fluctuations in currency exchange rates, hedging activities may not always be available or adequate to mitigate the impact of our exchange rate exposure. Failure to sufficiently hedge or otherwise manage foreign currency risks properly could materially and adversely affect our financial condition, results of operations, and liquidity.

22

Table of Contents

We have adopted certain measures that may have anti-takeover effects which may make an acquisition of our Company by another company more difficult.

We have adopted, and may in the future adopt, certain measures that may have the effect of delaying, deferring, or preventing a takeover or other change in control of our Company, which a holder of our common stock might not consider to be in the holder’s best interest. These measures include:

“blank check” preferred stock;
a classified board of directors; and
certain other provisions appearing in our certificate of incorporation and bylaws.

Our board of directors has the authority to issue up to 500,000 shares of preferred stock and to fix the rights (including voting rights), preferences and privileges of these shares (“blank check” preferred). Such preferred stock may have rights, including economic rights, senior to our common stock. As a result, the issuance of the preferred stock could have a material adverse effect on the price of our common stock and could make it more difficult for a third party to acquire a majority of our outstanding common stock.

Our board of directors is divided into three classes with each class serving a staggered three-year term. The existence of a classified board makes it more difficult for our shareholders to change the composition of our board of directors, and therefore the Company’s policies, in a relatively short period of time.

We have adopted certain certificate of incorporation and bylaws provisions which have anti-takeover effects. These include: (a) requiring certain actions to be taken at a meeting of shareholders rather than by written consent, (b) requiring a super-majority of shareholders to approve certain amendments to our bylaws, (c) limiting the maximum number of directors, and (d) providing that directors may be removed only for cause. These measures and those described above may have the effect of delaying, deferring, or preventing a takeover or other change in control of our Company that a holder of our common stock may not consider to be in the holder’s best interest.

In addition, we are subject to the provisions of Section 203 of the General Corporation Law of the State of Delaware, which prohibits a Delaware corporation from engaging in any business combination, including mergers and asset sales, with an interested stockholder (generally, a 15% or greater stockholder) for a period of three years after the date of the transaction in which the person became an interested stockholder, unless the business combination is approved in a prescribed manner. The operation of Section 203 may have anti-takeover effects, which could delay, defer, or prevent a takeover attempt that a holder of our common stock may not consider to be in the holder’s best interest.

Despite the above measures, an activist shareholder could undertake action to implement governance, strategic, or other changes to the Company which a holder of our common stock may not consider to be in the holder’s best interest. Such activities could interfere with our ability to execute our strategic plans, be costly and time consuming, disrupt our operations, and divert the attention of management and our employees.

We are exposed to various risks associated with global regulatory requirements.

As a public company with global operations, we are subject to the laws of the United States and multiple foreign jurisdictions, and the rules and regulations of various governing bodies, which may differ among jurisdictions. We are required to comply with legal and regulatory requirements pertaining to such matters as data privacy (including, for example, the European Union General Data Protection Regulation and similar laws), labor laws, immigration, customs, trade, taxes, corporate governance, conflict minerals and other social responsibility legislation, and antitrust regulations, among others. These laws and regulations, which are ever-evolving and at times complex and inconsistent, impose costs on our business and divert management time and attention from revenue-generating activities. Changes to or ambiguities in these laws and regulations may create uncertainty regarding our compliance requirements. While we intend to invest the required resources to comply with these regulatory requirements, if we are found by a court or regulatory agency to have failed in these efforts, our business, financial condition, and results of operations could be adversely affected.

23

Table of Contents

We may be exposed to liabilities under the Foreign Corrupt Practices Act and other similar laws.

We are subject to the Foreign Corrupt Practices Act of 1977 (“FCPA”) and other laws that prohibit improper payments or offers of payments to foreign government officials, as defined by the statute, for the purpose of obtaining or retaining business. In addition, many of our customers have policies limiting or prohibiting us from providing certain types or amounts of entertainment, meals, or gifts to their employees. It is our policy to implement safeguards to discourage these practices by our employees and representatives. However, our safeguards may prove to be ineffective and our employees, consultants, sales agents, or distributors may engage in conduct for which we may be held responsible. In addition, we may acquire a company that has engaged in unlawful conduct in the past, and be held responsible for this conduct through successor liability principles. Violations of the FCPA or similar laws or similar customer policies may result in severe criminal or civil sanctions or the loss of supplier privileges to a customer and we may be subject to other liabilities, which could negatively affect our business, financial condition, and results of operations.

We are subject to risks of non-compliance with environmental, health, and safety regulations.

From a corporate governance perspective, there is an increasing focus on reducing energy usage and improving the environmental impact and sustainability associated with manufacturing operations. In addition, we are subject to environmental, health, and safety regulations in connection with our business operations, including but not limited to regulations related to the development, manufacture and use of our products, recycling and disposal of related materials, and the operation and use of our facilities and real property. Failure or inability to comply with existing or future environmental, safety and sustainability standards and regulations could result in significant remediation liabilities, the imposition of fines, the suspension or termination of research, development, or use of certain of our products, and other harm to the Company, which could have a material adverse effect on our business, financial condition, and results of operations. Furthermore, some of our operations involve the storage, handling, and use of hazardous materials that may pose a risk of fire, explosion, or environmental release. Such events could result from acts of terrorism, natural disasters, or operational failures and may result in injury or loss of life to our employees and others, local environmental contamination, and property damage. These events may cause a temporary shutdown of an affected facility, or portion thereof, and we could be subject to penalties or claims as a result. Each of these events could have a material adverse effect on our business, financial condition, and results of operations.

We have significant operations in locations which could be materially and adversely impacted in the event of a natural disaster, an act of terrorism, or other significant disruption.

Our operations in the United States, in the Asia-Pacific region, and in other areas could be subject to natural disasters or other significant disruptions, including earthquakes, tsunamis, fires, hurricanes, floods, water shortages, other extreme weather conditions, medical epidemics and severe outbreaks (such as coronavirus), power shortages and blackouts, telecommunications failures, and other natural and manmade disasters or disruptions. In the event of such a natural disaster or other disruption, we could experience disruptions or interruptions to our operations and to the operations of our suppliers, distributors, resellers and customers, destruction of facilities and loss of life, all of which could materially increase our costs and expenses and materially and adversely affect our business, financial condition, and results of operations. In addition, various regions of the world in which we do business are subject to the threat of terrorism and acts of war. Any act of terrorism or war that affects the economy or the industries in which we operate could result in significant harm to us, including the loss of life and property, manufacturing and transportation delays, disruptions in our supply chain, the need to comply with enhanced security measures, and other increased costs.

Item 1B. Unresolved Staff Comments

None.

24

Table of Contents

Item 2. Properties

Our corporate headquarters and principal research and development, manufacturing, and sales and service facilities are:

    

Approximate

    

    

Owned Facilities Location

Size (sq. ft.)

Use

Plainview, NY

 

80,000

 

Corporate Headquarters; R&D; Sales & Service; Administration

Somerset, NJ

 

80,000

 

R&D; Manufacturing; Sales & Service; Administration

St. Paul, MN

 

43,000

 

R&D; Manufacturing; Sales & Service; Administration

Somerset, NJ

 

38,000

 

R&D; Sales & Service; Administration

    

Approximate

    

    

    

Lease

Leased Facilities Location

Size (sq. ft.)

Use

Expires

San Jose, CA

 

100,000

 

R&D; Manufacturing; Sales & Service; Administration

 

2023

Somerset, NJ

 

57,000

 

Warehouse

 

2022

Horsham, PA

 

49,000

 

R&D; Manufacturing; Sales & Service; Administration

 

2024

Singapore

 

23,000

 

Sales & Service; Administration

 

2023

Waltham, MA

 

19,000

 

R&D; Sales & Service; Administration

 

2023

In addition to the above, we lease a small office in Malta, New York for sales and service and our foreign sales and service subsidiaries lease office space in China, Germany, Japan, Malaysia, Philippines, South Korea, Thailand, Taiwan and the United Kingdom. We believe our facilities are adequate to meet our current needs.

Item 3. Legal Proceedings

On June 8, 2018, an Ultratech shareholder who received Veeco stock as part of the consideration for the Ultratech acquisition filed a purported class action complaint in the Superior Court of the State of California, County of Santa Clara, captioned Wolther v. Maheshwari et al., Case No. 18CV329690, on behalf of himself and others who purchased or acquired shares of Veeco pursuant to the registration statement and prospectus which Veeco filed with the SEC in connection with the Ultratech acquisition (the “Wolther Action”). On August 2 and August 8, 2018, two purported class action complaints substantially similar to the Wolther Action were filed on behalf of different plaintiffs in the same court as the Wolther Action. These cases have been consolidated with the Wolther Action, and a consolidated complaint was filed on December 11, 2018. The consolidated complaint seeks to recover damages and fees under Sections 11, 12, and 15 of the Securities Act of 1933 for, among other things, alleged false/misleading statements in the registration statement and prospectus relating to the Ultratech acquisition, relating primarily to the alleged failure to disclose delays in the advanced packaging business, increased MOCVD competition in China, and an intellectual property dispute. Veeco is defending this matter vigorously.

On December 21, 2018, a purported Veeco stockholder filed a derivative action in the Superior Court of the State of California, County of Santa Clara, captioned Vladimir Gusinsky Revocable Trust v. Peeler, et al., Case No. 18CV339925, on behalf of nominal defendant Veeco. The complaint seeks to assert claims for breach of fiduciary duty, waste of corporate assets, and unjust enrichment against current and former Veeco directors premised on purported misstatements and omissions in the registration statement relating to the Ultratech acquisition. Veeco is defending this matter vigorously.

 

The Company is involved in various other legal proceedings arising in the normal course of business. The Company does not believe that the ultimate resolution of these matters will have a material adverse effect on its consolidated financial position, results of operations, or cash flows.

Item 4. Mine Safety Disclosures

Not Applicable.

25

Table of Contents

PART II

Item 5. Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities

Our common stock is quoted on The NASDAQ Global Select Market under the symbol “VECO.” As of February 14, 2020, there were approximately 131 stockholders of record of our common stock. Because many of our shares of common stock are held by brokers and other institutions on behalf of stockholders, we are unable to estimate the total number of stockholders represented by these record holders. We have not paid dividends on our common stock. The Board of Directors will determine future dividend policy based on our consolidated results of operations, financial condition, capital requirements, and other circumstances.

Issuer Purchases of Equity Securities

On December 11, 2017, our Board of Directors authorized a program to repurchase up to $100 million of the Company’s outstanding common stock to be completed through December 11, 2019, after completion of the previous program on October 28, 2017. During fiscal years 2018 and 2017, we repurchased 1.0 million shares and 0.2 million shares of our common stock for $11.3 million and $3.0 million, respectively, through our share repurchase programs. We did not purchase any shares during the fiscal year 2019. At the end of the program, $14.3 million of the $100 million had been utilized.

26

Table of Contents

Stock Performance Graph

Graphic

ASSUMES $100 INVESTED ON DEC. 31, 2014

ASSUMES DIVIDENDS REINVESTED

FISCAL YEAR ENDING DEC. 31

    

2014

    

2015

    

2016

    

2017

    

2018

    

2019

Veeco Instruments Inc.

 

100.00

 

58.94

 

83.57

 

42.57

 

21.24

 

42.10

S&P Smallcap 600

 

100.00

 

98.03

 

124.06

 

140.48

 

128.56

 

157.85

RDG MidCap Technology

 

100.00

 

90.54

 

91.63

 

95.17

 

80.39

 

96.51

27

Table of Contents

Item 6. Selected Financial Data

The information set forth below should be read in conjunction with the “Results of Operations” section included in Item 7, “Management’s Discussion and Analysis of Financial Condition and Results of Operations.”

Year ended December 31,

    

2019

    

2018

    

2017 (1)(2)

    

2016 (1)

    

2015 (1)

(in thousands, except per share data)

Statement of Operations Data:

 

 

  

  

 

  

 

  

 

  

Net sales

 

$

419,349

$

542,082

$

475,686

$

331,702

$

477,038

Operating income (loss)

 

(39,578)

 

(415,502)

 

(71,868)

 

(120,162)

 

(23,232)

Net income (loss)

 

(78,733)

 

(407,088)

 

(51,396)

 

(122,027)

 

(31,978)

Basic income (loss) per common share

 

(1.66)

 

(8.63)

 

(1.16)

 

(3.10)

 

(0.80)

Diluted income (loss) per common share

 

(1.66)

 

(8.63)

 

(1.16)

 

(3.10)

 

(0.80)

(1)Effective January 1, 2018, the Company adopted the new revenue accounting standard (“ASC 606”). The results of operations for 2017 and 2016 have been recast for the new standard, while prior years have not. Refer to Note 1, “Significant Accounting Policies” for additional information.
(2)During the second quarter of 2017, the Company acquired Ultratech. The results of operations of Ultratech have been included in the consolidated financial statements since that date.

December 31,

    

2019 (1)

    

2018

    

2017 (2)

    

2016 (2)

    

2015

(in thousands)

Balance Sheet Data:

 

 

  

  

 

  

 

  

 

  

Cash and cash equivalents

 

$

129,294

$

212,273

$

279,736

$

277,444

$

269,232

Short-term investments

 

115,252

 

48,189

 

47,780

 

66,787

 

116,050

Working capital

 

357,654

 

360,027

 

372,822

 

365,374

 

379,904

Total assets

 

818,088

 

900,816

 

1,387,475

 

763,988

 

890,789

Long-term debt (less current installments)

 

300,068

 

287,392

 

275,630

 

826

 

1,193

Total equity

 

374,512

 

437,775

 

840,093

 

601,704

 

714,615

(1)Effective January 1, 2019, the Company adopted the new lease accounting standard (“ASC 842”). The balance sheet and results of operations for prior periods have not been recast for the new standard. Refer to Note 1, “Significant Accounting Policies” for additional information.
(2)Effective January 1, 2018, the Company adopted the new revenue accounting standard (“ASC 606”). The results of operations for 2017 and 2016 have been recast for the new standard, while prior years have not. Refer to Note 1, “Significant Accounting Policies” for additional information.

28

Table of Contents

Item 7. Management’s Discussion and Analysis of Financial Condition and Results of Operations

Executive Summary

We are an innovative manufacturer of semiconductor process equipment. Our proven ion beam, laser annealing, lithography, MOCVD and single wafer etch & clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield and cost of ownership, Veeco holds leading technology positions in many of the markets we serve.

We categorize our revenue by the key market segments into which we sell. Our four key markets are: Front-End Semiconductor; Advanced Packaging, MEMS & RF Filters; LED Lighting, Display & Compound Semiconductor; and Scientific & Industrial.

Sales in the Front-End Semiconductor market were driven by Laser Annealing systems and Low Defect Density Ion Beam Deposition (“LDD-IBD”) systems for Extreme Ultraviolet (“EUV”) Mask Blank Production. We continue to build momentum in the Front-End Semiconductor market with shipments and additional orders for our EUV mask blank systems as well as advanced node penetration with our Laser Annealing systems. The ongoing adoption of EUV Lithography for advanced node, front-end semiconductor manufacturing is a good trend for us, as is our Laser Annealing progress and opportunity with current advanced nodes and future nodes.

Sales in the Advanced Packaging, MEMS & RF Filter market were driven by Lithography and wet etch and clean systems. Advanced Packaging opportunities remained soft in 2019 as mobile supply chains were dealing with excess capacity due to weak mobile device forecasts. We remain well positioned for future growth in these markets, supported by trends such as artificial intelligence, mobile connectivity, automotive electronics, big data processing, and 5G infrastructure deployment, as well as the longer term growth of FOWLP and other Advanced Packaging applications.

Sales in the LED Lighting, Display & Compound Semiconductor market were very weak in 2019 with limited system shipments of MOCVD systems. More recently, we have been focused on compound semiconductor applications such as 3D sensors, VCSELs, laser diodes, and RF devices. Our broad portfolio of MOCVD and wet etch and clean technologies have been developed to support these industry applications. During 2019, we shipped our first Lumina evaluation system. This As/P-based system was developed to meet our customers’ requirements for the photonics market which includes specialty LEDs, edge emitting lasers and VCSELs. Additionally, in 2019 we shipped and received acceptance on our fully automated, 300mm single wafer MOCVD cluster system to a major front-end fab. This GaN based system is ideal for power and 5G RF applications.

Sales in the Scientific & Industrial market were supported by shipments of Ion Beam systems for data storage applications and optical coatings as well as shipments of MBE systems to universities and laboratories. Demand for our Ion Beam products for Data Storage is being driven by big data and cloud-based storage growth. In order to be successful, hard disk drive manufacturers are required to improve areal density of magnetic heads for hard disk drives and are manufacturing drives with an increasing number of thin film magnetic heads. These two factors taken together along with new innovations by HDD manufacturers such as heat assisted magnetic recording (“HAMR”) and microwave assisted magnetic recording (“MAMR”) are driving additional capacity and equipment upgrades. While equipment demand from each individual market may fluctuate quarter to quarter, the diverse customer base has historically provided a relatively stable revenue stream for the Company.

29

Table of Contents

Results of Operations

Years Ended December 31, 2019 and 2018

The following table presents revenue and expense line items reported in our Consolidated Statements of Operations for 2019 and 2018 and the period-over-period dollar and percentage changes for those line items. Our results of operations are reported as one business segment, represented by our single operating segment. See Part II, Item 7 of our Annual Report on Form 10-K for the fiscal year ended December 31, 2018, filed with the SEC on February 25, 2019, as amended by Amendment No. 1 to such Annual Report on Form 10-K, filed with the SEC on May 1, 2019, for Management’s Discussions and Analysis of Financial Condition and Results of Operations for the fiscal year ended December 31, 2017.

For the year ended December 31,

Change

 

2019

2018

Period to Period

 

(dollars in thousands)

 

Net sales

    

$

419,349

100

%  

$

542,082

100

%  

$

(122,733)

(23)

%

Cost of sales

 

261,155

62

%  

 

348,363

64

%  

 

(87,208)

(25)

%

Gross profit

 

158,194

38

%  

 

193,719

36

%  

 

(35,525)

(18)

%

Operating expenses, net:

 

  

  

 

  

 

  

Research and development

 

90,557

22

%  

 

97,755

18

%  

 

(7,198)

(7)

%

Selling, general, and administrative

 

79,749

19

%  

 

92,060

17

%  

 

(12,311)

(13)

%

Amortization of intangible assets

 

17,085

4

%  

 

32,351

6

%  

 

(15,266)

(47)

%

Restructuring

 

6,403

2

%  

 

8,556

2

%  

 

(2,153)

(25)

%

Acquisition costs

 

 

2,959

1

%  

 

(2,959)

(100)

%

Asset impairment

 

4,020

1

%  

 

375,172

69

%  

 

(371,152)

*

Other operating expense (income), net

 

(42)

 

368

 

(410)

*

Total operating expenses, net

 

197,772

47

%  

 

609,221

112

%  

 

(411,449)

(68)

%

Operating income (loss)

 

(39,578)

(9)

%  

 

(415,502)

(77)

%  

 

375,924

*

Interest income (expense), net

 

(17,405)

(4)

%  

 

(18,332)

(3)

%  

 

927

(5)

%

Other income (expense), net

(20,973)

(5)

%  

(20,973)

*

Income (loss) before income taxes

 

(77,956)

(19)

%  

 

(433,834)

(80)

%  

 

355,878

*

Income tax expense (benefit)

 

777

 

(26,746)

(5)

%  

 

27,523

*

Net income (loss)

$

(78,733)

(19)

%  

$

(407,088)

(75)

%  

$

328,355

*

*Not meaningful

Net Sales

The following is an analysis of sales by market and by region:

Year ended December 31,

Change

 

2019

2018

Period to Period

 

(dollars in thousands)

 

Sales by market

    

  

  

    

  

  

    

  

  

Front-End Semiconductor

$

120,128

29

%  

$

62,582

12

%  

$

57,546

92

%

Advanced Packaging, MEMS & RF Filters

 

66,909

16

%  

 

90,775

17

%  

 

(23,866)

(26)

%

LED Lighting, Display & Compound Semiconductor

 

72,791

17

%  

 

249,974

46

%  

 

(177,183)

(71)

%

Scientific & Industrial

 

159,521

38

%  

 

138,751

25

%  

 

20,770

15

%

Total

$

419,349

100

%  

$

542,082

100

%  

$

(122,733)

(23)

%

Sales by geographic region

 

  

  

 

  

  

 

  

  

United States

$

126,160

30

%  

$

125,659

23

%  

$

501

China

 

71,078

17

%  

 

194,032

36

%  

 

(122,954)

(63)

%

EMEA

 

57,351

14

%  

 

89,102

16

%  

 

(31,751)

(36)

%

Rest of World

 

164,760

39

%  

 

133,289

25

%  

 

31,471

24

%

Total

$

419,349

100

%  

$

542,082

100

%  

$

(122,733)

(23)

%

30

Table of Contents

Total sales decreased for the year ended December 31, 2019 against the comparable prior year period in the LED Lighting, Display & Compound Semiconductor and Advanced Packaging, MEMS & RF Filters markets, partially offset by increases in the Front-End Semiconductor and Scientific & Industrial markets. Pricing did not have a significant impact on the change in total sales. By geography, sales decreased in the China and EMEA regions, partially offset by an increase in the Rest of World region. The most significant decrease occurred in the China region, which was largely attributable to the decreased sales in the LED Lighting, Display & Compound Semiconductor market. We do not expect significant new orders in China for the LED Lighting, Display & Compound Semiconductor market in the near future. Sales increased in Rest of World due to an increase of sales in the Front-End Semiconductor market in Japan for our EUV mask blank systems. Sales in Japan and Taiwan were $48.1 million and $48.8 million, respectively, for the year ended December 31, 2019. We expect there will continue to be year-to-year variations in our future sales distribution across markets and geographies.

Gross Profit

In 2019, gross profit decreased compared to 2018 primarily due to a decrease in sales volume, partially offset by increased gross margins. Gross margins increased principally due to product and region mix of sales in the periods, which included an exit out of the low margin commoditized LED market in China, partially offset by an increase in inventory reserves.

Research and Development

The markets we serve are characterized by continuous technological development and product innovation, and we invest in various research and development initiatives to maintain our competitive advantage and achieve our growth objectives. Research and development expenses decreased in 2019 compared to 2018 primarily related to personnel-related expenses and professional fees as a result of our initiative to streamline operations, enhance efficiency, and reduce costs.

Selling, General, and Administrative

Selling, general, and administrative expenses decreased in 2019 compared to 2018 primarily related to personnel-related expenses and professional fees as a result of our initiative to streamline operations, enhance efficiency, and reduce costs.

Amortization Expense

Amortization expense decreased in 2019 compared to 2018 primarily as a result of the impairment of intangible assets during the second quarter of 2018.

Restructuring Expense

During the second quarter of 2018, we initiated plans to reduce excess capacity associated with the manufacture and support of our advanced packaging lithography and 3D wafer inspection systems by consolidating these operations into our San Jose, California facility. As a result of this and other cost saving initiatives, we announced headcount reductions of approximately 40 employees.

We continued to record restructuring charges in 2019 as a result of our efforts to further streamline operations, enhance efficiencies, and reduce costs. In the second half of 2019, we executed an initiative to reorganize various functions along product lines and created a central research and development organization to better allocate our resources to our highest priority projects. In addition, we delayered the organization while preserving our ability to execute. Collectively, these actions impacted approximately 60 employees.

Acquisition Costs

Acquisition costs incurred during 2018 are non-recurring charges incurred in connection with the acquisition of the Ultratech business, as well as legal and professional fees incurred in connection with certain integration activities.

31

Table of Contents

Asset Impairment

During the fourth quarter of 2019, we determined that one of our product lines met the criteria for held for sale accounting treatment and recorded a non-cash impairment charge of $4.0 million to reduce these assets to their expected fair value upon sale.

During the second quarter of 2018, we lowered our projected results for the Ultratech asset group, which were significantly below the projected results at the time of the acquisition. The reduced projections were based on lower than expected unit volume of certain smartphones, which incorporate advanced packaging methods such as FOWLP, and a delay in the adoption of FOWLP advanced packaging by other electronics manufacturers, both of which slowed orders and reduced revenue projections for our advanced packaging lithography systems. In addition, there was a delay in the build out of 28nm facilities by companies in China who were expected to purchase our LSA systems. Taken together, the reduced projections identified during the second quarter of 2018 required us to assess the Ultratech asset group for impairment. As a result of the analysis, during the second quarter of 2018 we recorded a $252.3 million non-cash intangible asset impairment charge.

Additionally, as a result of a significant decline in our stock price during the fourth quarter of 2018, we concluded it was appropriate to perform an interim goodwill impairment test as of the end of fiscal 2018. The fair value of our reporting unit was determined using an adjusted market capitalization approach, which is calculated by multiplying our stock price by the number of outstanding shares and adding a control premium. The fair value of our reporting unit was determined to be below the carrying value, and we recorded an impairment charge equal to the excess of carrying value over fair value, or $122.8 million, for the year ended December 31, 2018. The valuation of goodwill will continue to be subject to changes in our market capitalization and observable market control premiums. 

Interest Income (Expense)

For the year ended December 31, 2019, we recorded net interest expense of $17.4 million, compared to $18.3 million for the comparable prior period. Included in interest expense for the year ended December 31, 2019 and 2018 were non-cash charges of $12.7 million and $11.8 million, respectively, related to the amortization of debt discount and transaction costs of the Convertible Senior Notes. Interest income increased to $4.7 million for 2019, compared to $3.2 million for the comparable prior period, primarily related to higher average interest yields.

Other Income (Expense)

During the fourth quarter of 2019, we determined that our equity investment in Kateeva had indicators of impairment, and as such, we reviewed this investment for impairment. Based on this review, we recorded a non-cash impairment charge of $21.0 million.

Income Taxes

The 2019 income tax expense of $0.8 million is comprised of: (i) a $1.0 million income tax expense attributed to the profitable non-U.S. operations, as well as withholding tax as we now expect to repatriate certain foreign earnings as a result of changes in tax laws under the 2017 Tax Act, (ii) a $0.3 million income tax expense related primarily to U.S. tax amortization of our indefinite-lived intangible assets that is not available to offset existing deferred tax assets, as well as state and local income taxes, which were partially offset by (iii) a $0.5 million income tax benefit related to the amortization and subsequent impairment of certain non-U.S. intangible assets during the year.

The 2018 income tax benefit of $26.7 million is comprised of: (i) a $25.2 million income tax benefit related to the impairment of certain intangible assets during the year, (ii) a $1.7 million income tax benefit recorded in connection with the 2017 Tax Act, (iii) a $0.4 million income tax expense related primarily to U.S. tax amortization of our indefinite-lived intangible assets that is not available to offset existing deferred tax assets, as well as state and local income taxes, and (iv) a $0.2 million income tax benefit from non-U.S. operations and non-U.S. withholding taxes recorded as we expected to repatriate certain foreign earnings as a result of changes in tax laws under the 2017 Tax Act.

32

Table of Contents

Liquidity and Capital Resources

Our cash and cash equivalents, restricted cash, and short-term investments are as follows:

December 31,

December 31,

    

2019

    

2018

(in thousands)

Cash and cash equivalents

$

129,294

$

212,273

Restricted cash

 

657

 

809

Short-term investments

 

115,252

 

48,189

Total

$

245,203

$

261,271

A portion of our cash and cash equivalents is held by our subsidiaries throughout the world, frequently in each subsidiary’s respective functional currency, which is typically the U.S. dollar. At December 31, 2019 and 2018, cash and cash equivalents of $73.0 million and $66.9 million, respectively, were held outside the United States. As of December 31, 2019, we had $9.4 million of accumulated undistributed earnings generated by our non-U.S. subsidiaries for which the U.S. repatriation tax has been provided and did not require the use of cash due to the use of net operating loss carryforwards. Approximately $5.0 million of undistributed earnings would be subject to foreign withholding taxes if distributed back to the United States. We believe that our projected cash flow from operations, combined with our cash and short term investments, will be sufficient to meet our projected working capital requirements, contractual obligations, and other cash flow needs for the next twelve months, including scheduled interest payments on our Convertible Senior Notes due 2023.

A summary of the cash flow activity for the year ended December 31, 2019 and 2018 is as follows:

Cash Flows from Operating Activities

    

For the year ended December 31,

    

2019

    

2018

(in thousands)

Net income (loss)

$

(78,733)

$

(407,088)

Non-cash items:

Depreciation and amortization

 

34,399

 

49,998

Non-cash interest expense

 

12,676

 

11,762

Deferred income taxes

 

360

 

(27,620)

Share-based compensation expense

 

15,270

 

16,074

Asset impairment

 

4,020

 

375,172

Impairment of equity investments

20,973

Provision for bad debts

392

Changes in operating assets and liabilities

 

(16,773)

 

(56,036)

Net cash provided by (used in) operating activities

$

(7,416)

$

(37,738)

Net cash used in operating activities was $7.4 million for the year ended December 31, 2019 and was due to the net loss of $78.7 million plus a decline in cash flow from operating activities due to changes in operating assets and liabilities of $16.8 million, partially offset by adjustments for non-cash items of $88.1 million. The changes in operating assets and liabilities was largely attributable to decreases in accounts payable and accrued expenses and customer deposits and deferred revenue, partially offset by decreases in inventories and deferred cost of sales, accounts receivable and contract assets, and prepaid expenses and other current assets.

Net cash used in operating activities was $37.7 million for the year ended December 31, 2018 and was due to the net loss of $407.1 million plus a decline in cash flow from operating activities due to changes in operating assets and liabilities of $56.0 million, partially offset by adjustments for non-cash items of $425.4 million. The changes in operating assets and liabilities was largely attributable to decreases in accounts payable and accrued expenses, customer deposits and deferred

33

Table of Contents

revenue, and an increase in inventories and deferred cost of sales, partially offset by decreases in accounts receivable and contract assets, and prepaid expenses and other current assets.

Cash Flows from Investing Activities

For the year ended December 31,

    

2019

    

2018

(in thousands)

Acquisitions of businesses, net of cash acquired

$

$

(2,662)

Capital expenditures

 

(10,873)

 

(12,654)

Changes in investments, net

 

(65,639)

 

(2,981)

Proceeds from held for sale assets

645

Net cash provided by (used in) investing activities

$

(75,867)

$

(18,297)

The net cash used in investing activities during the year ended December 31, 2019 was attributable to net change in investments as well as capital expenditures. The net cash used in investing activities during the year ended December 31, 2018 was attributable to capital expenditures, net change in investments, and net cash used in the final payout related to the acquisition of Ultratech.

Cash Flows from Financing Activities

For the year ended December 31,

    

2019

    

2018

(in thousands)

Settlement of equity awards, net of withholding taxes

$

126

$

(5)

Purchases of common stock

 

 

(11,457)

Net cash provided by (used in) financing activities

$

126

$

(11,462)

The net cash provided by financing activities for the year ended December 31, 2019 was immaterial. The net cash used in financing activities for the year ended December 31, 2018 was primarily related to the share repurchase program that expired in December 2019.

Convertible Senior Notes

On January 10, 2017, we issued $345.0 million of 2.70% Convertible Senior Notes. We received net proceeds, after deducting underwriting discounts and fees and expenses payable by the Company, of approximately $335.8 million. The Convertible Senior Notes bear interest at a rate of 2.70% per year, payable semiannually in arrears on January 15 and July 15 of each year, commencing on July 15, 2017. The Convertible Senior Notes mature on January 15, 2023, unless earlier purchased by the Company, redeemed, or converted. We believe that we have sufficient capital resources and cash flows from operations to support scheduled interest payments on this debt.

Contractual Obligations and Commitments

We have commitments under certain contractual arrangements to make future payments for goods and services. These contractual arrangements secure the rights to various assets and services to be used in the future in the normal course of business. We expect to fund these contractual arrangements with cash generated from operations in the normal course of business, as well as existing cash and cash equivalents and short-term investments. In addition, we have bank guarantees and letters of credit issued by a financial institution on our behalf as needed. At December 31, 2019, outstanding bank guarantees and letters of credit totaled $10.2 million and unused bank guarantees and letters of credit of $21.6 million were available to be drawn upon.

34

Table of Contents

The following table summarizes our contractual arrangements at December 31, 2019 and the timing and effect that those commitments are expected to have on our liquidity and cash flow in future periods.

Payments due by period

Less than

1 – 3

3 – 5

More than

    

Total

    

1 year

    

years

    

years

    

5 years

  (in thousands)

Principal payments on long-term debt

$

345,000

$

$

$

345,000

$

Cash interest on debt

 

28,333

 

9,315

 

18,630

 

388

 

Operating leases

 

16,064

 

4,932

 

10,581

 

551

 

Purchase commitments(1)

 

63,258

 

63,258

 

 

 

Total

$

452,655

$

77,505

$

29,211

$

345,939

$

(1)Purchase commitments are generally for inventory used in the manufacturing of our products. We generally do not enter into purchase commitments extending beyond one year. At December 31, 2019, we have $5.9 million of offsetting supplier deposits that will be applied against these purchase commitments.

Off-Balance Sheet Arrangements

We do not have any off-balance sheet arrangements that have, or are reasonably likely to have, a current or future material effect on our financial condition, changes in financial condition, expenses, results of operations, liquidity, capital expenditures, or capital resources other than bank guarantees and purchase commitments reflected in the preceding “Contractual Obligations and Commitments” table.

Application of Critical Accounting Policies

Our discussion and analysis of our financial condition and results of operations are based upon our Consolidated Financial Statements, which have been prepared in accordance with accounting principles generally accepted in the United States. The preparation of these financial statements requires a high degree of judgment, either in the application and interpretation of existing accounting literature or in the development of estimates that affect the reported amounts of assets, liabilities, revenues, and expenses. On an ongoing basis, we evaluate our estimates and judgments based on historical experience as well as other factors that we believe to be reasonable under the circumstances. The results of our evaluation form the basis for making judgments about the carrying values of assets and liabilities that are not readily apparent from other sources. These estimates may change in the future if underlying assumptions or factors change, and actual results may differ from these estimates.

We consider the following significant accounting policies to be critical because of their complexity and the high degree of judgment involved in implementing them.

Revenue Recognition

We adopted ASC 606 as of January 1, 2018, using the full retrospective method. Refer to Note 1, “Significant Accounting Policies,” for additional information.

Revenue is recognized upon the transfer of control of the promised product or service to the customer in an amount that reflects the consideration we expect to receive in exchange for such product or service. Our contracts with customers generally do not contain variable consideration. In the rare instances where variable consideration is included, we estimate the amount of variable consideration and determine what portion of that, if any, has a high probability of significant subsequent revenue reversal, and if so, that amount is excluded from the transaction price. Our contracts with customers frequently contain multiple deliverables, such as systems, upgrades, components, spare parts, installation, maintenance, and service plans. Judgment is required to properly identify the performance obligations within a contract and to determine how the revenue should be allocated among the performance obligations. We also evaluate whether multiple transactions with the same customer or related parties should be considered part of a single contract based on an assessment of whether the contracts or agreements are negotiated or executed within a short time frame of each other or if there are indicators that the contracts are negotiated in contemplation of one another.

35

Table of Contents

When there are separate units of accounting, we allocate revenue to each performance obligation on a relative stand-alone selling price basis. The stand-alone selling prices are determined based on the prices at which we separately sell the systems, upgrades, components, spare parts, installation, maintenance, and service plans. For items that are not sold separately, we estimate stand-alone selling prices generally using an expected cost plus margin approach.

 

Most of our revenue is recognized at a point in time when the performance obligation is satisfied. We consider many facts when evaluating each of our sales arrangements to determine the timing of revenue recognition, including our contractual obligations and the nature of the customer’s post-delivery acceptance provisions. Our system sales arrangements, including certain upgrades, generally include field acceptance provisions that may include functional or mechanical test procedures. For many of these arrangements, a customer source inspection of the system is performed in our facility, test data is sent to the customer documenting that the system is functioning to the agreed upon specifications prior to delivery, or other quality assurance testing is performed internally to ensure system functionality prior to shipment. Historically, such source inspection or test data replicates the field acceptance provisions that are performed at the customer’s site prior to final acceptance of the system. When we objectively demonstrate that the criteria specified in the contractual acceptance provisions are achieved prior to delivery either through customer testing or our historical experience of our tools meeting specifications, transfer of control of the product to the customer is considered to have occurred and revenue is recognized upon system delivery since there is no substantive contingency remaining related to the acceptance provisions at that date. For new products, new applications of existing products, or for products with substantive customer acceptance provisions where we cannot objectively demonstrate that the criteria specified in the contractual acceptance provisions have been achieved prior to delivery, revenue and the associated costs are deferred. We recognize such revenue and costs upon obtaining objective evidence that the acceptance provisions can be achieved, assuming all other revenue recognition criteria have been met.

 

In certain cases, our contracts with customers contain a billing retention, typically 10% of the sales price, which is billed by us and payable by the customer when field acceptance provisions are completed. Revenue recognized in advance of the amount that has been billed is recorded as a contract asset on the Consolidated Balance Sheets.

 

We recognize revenue related to maintenance and service contracts over time based upon the respective contract term. Installation revenue is recognized over time as the installation services are performed. We recognize revenue from the sales of components, spare parts, and specified service engagements at a point in time, which is typically consistent with the time of delivery in accordance with the terms of the applicable sales arrangement.

 

We may receive customer deposits on system transactions. The timing of the transfer of goods or services related to the deposits is either at the discretion of the customer or expected to be within one year from the deposit receipt. As such, we do not adjust transaction prices for the time value of money. Incremental direct costs incurred related to the acquisition of a customer contract, such as sales commissions, are expensed as incurred since the expected performance period is one year or less.

 

We have elected to treat shipping and handling costs as a fulfillment activity, and we include such costs in cost of services when we recognize revenue for the related goods. Taxes assessed by governmental authorities that are collected by us from a customer are excluded from revenue.

Inventory Valuation

Inventories are stated at the lower of cost or net realizable value, with cost determined on a first-in, first-out basis. Each quarter we assess the valuation and recoverability of all inventories: materials (raw materials, spare parts, and service inventory); work-in-process; and finished goods. Obsolete inventory or inventory in excess of our estimated usage requirements is written down to its estimated net realizable value if less than cost. We evaluate usage requirements by analyzing historical usage, anticipated demand alternative uses of materials, and other qualitative factors. Unanticipated changes in demand for our products may require a write down of inventory that could materially affect our operating results.

36

Table of Contents

Goodwill and Intangible Assets

Goodwill is tested for impairment at least annually in the beginning of the fourth quarter of our fiscal year. We may first perform a qualitative assessment of whether it is more likely than not that the reporting unit’s fair value is less than its carrying amount, and, if so, we then quantitatively compare the fair value of our reporting unit to its carrying amount. If the fair value of the reporting unit exceeds its carrying amount, goodwill is not impaired. If the carrying amount of the reporting unit exceeds its fair value, we then record an impairment loss equal to the difference, up to the carrying value of goodwill.

We determine the fair value of our reporting unit based on a reconciliation of the aggregate fair value of our reporting unit to our adjusted market capitalization. The adjusted market capitalization is calculated by multiplying the average share price of our common stock for the last ten trading days prior to the measurement date by the number of outstanding common shares and adding a control premium. The control premium is estimated using historical transactions in similar industries.

The carrying values of long-lived assets, including identifiable intangible assets, are reviewed for impairment whenever events or changes in circumstances indicate that the carrying amount of an asset may not be recoverable. If circumstances require a long-lived asset or asset group be tested for possible impairment, a recoverability test is performed utilizing undiscounted cash flows expected to be generated by that asset or asset group compared to its carrying amount. If the carrying amount of the long-lived asset or asset group is not recoverable on an undiscounted cash flow basis, impairment is recognized to the extent the carrying amount exceeds its fair value. Fair value is determined through various valuation techniques including discounted cash flow models or, when available, quoted market values and third-party appraisals. It is not possible for us to predict the likelihood of any possible future impairments or, if such an impairment were to occur, the magnitude of any impairment.

Intangible assets with finite useful lives, including purchased technology, customer-related intangible assets, patents, trademarks, backlog, and software licenses, are subject to amortization over the expected period of economic benefit to us. We evaluate whether events or circumstances have occurred that warrant a revision to the remaining useful lives of intangible assets. In cases where a revision is deemed appropriate, the remaining carrying amounts of the intangible assets are amortized over the revised remaining useful life.

Intangible assets related to IPR&D projects are considered to be indefinite-lived until the completion or abandonment of the associated research and development (“R&D”) efforts. If and when development is complete, the associated assets would be deemed long-lived and would then be amortized based on their respective estimated useful lives at that point in time. Indefinite-lived intangible assets are tested for impairment at least annually in the beginning of the fourth quarter of our fiscal year. In testing indefinite-lived intangible assets for impairment, we may first perform a qualitative assessment of whether it is more likely than not that the fair value of the indefinite-lived intangible asset is less than its carrying amount, and, if so, we then quantitatively compare the fair value of the indefinite-lived intangible asset to its carrying amount. We determine the fair value of our indefinite-lived intangible assets using a discounted cash flow method.

Income Taxes

We estimate our income taxes in each of the jurisdictions in which we operate. Deferred income taxes reflect the net tax effect of temporary differences between the asset and liability balances recognized for financial reporting purposes and the balances used for income tax purposes, as well as the tax effect of carry forwards. We record a valuation allowance to reduce our deferred tax assets to the amount that is more likely than not to be realized. Realization of our net deferred tax assets is dependent on future taxable income.

We recognize the effect of income tax positions for only those positions which are estimated to more likely than not be sustained if challenged. We reflect changes in recognition or measurement in the period in which our change in judgment occurs. We record interest and penalties related to uncertain tax positions in income tax expense. Income taxes related to the global intangible low-taxed income (“GILTI”) rules are expensed as incurred.

37

Table of Contents

Recent Accounting Pronouncements

We adopted ASC 606 and ASU 2016-01 as of January 1, 2018. We also adopted ASC 842 as of January 1, 2019. Refer to Note 1, “Significant Accounting Policies,” for additional information.

We are also evaluating other pronouncements recently issued but not yet adopted. The adoption of these pronouncements is not expected to have a material impact on our consolidated financial statements.

Item 7A. Quantitative and Qualitative Disclosures about Market Risk

Interest Rate Risk

Our exposure to market rate risk for changes in interest rates primarily relates to our investment portfolio. We centrally manage our investment portfolios considering investment opportunities and risks, tax consequences, and overall financing strategies. Our investment portfolio includes fixed-income securities with a fair value of approximately $115.3 million at December 31, 2019. These securities are subject to interest rate risk and, based on our investment portfolio at December 31, 2019, a 100 basis point increase in interest rates would result in a decrease in the fair value of the portfolio of $0.3 million. While an increase in interest rates may reduce the fair value of the investment portfolio, we will not realize the losses in the Consolidated Statements of Operations unless the individual fixed-income securities are sold prior to recovery or the loss is determined to be other-than-temporary.

Currency Exchange Risk

We conduct business on a worldwide basis and, as such, a portion of our revenues, earnings, and net investments in foreign affiliates is exposed to changes in currency exchange rates. The economic impact of currency exchange rate movements is complex because such changes are often linked to variability in real growth, inflation, interest rates, governmental actions, and other factors. These changes, if material, could cause us to adjust our financing and operating strategies. Consequently, isolating the effect of changes in currency does not incorporate these other important economic factors.

Changes in currency exchange rates could affect our foreign currency denominated monetary assets and liabilities and forecasted cash flows. We may enter into monthly forward derivative contracts with the intent of mitigating a portion of this risk. We only use derivative financial instruments in the context of hedging and not for speculative purposes and have not designated our foreign exchange derivatives as hedges. Accordingly, changes in fair value from these contracts are included in “Other operating expense (income), net” in our Consolidated Statements of Operations. We execute derivative transactions with highly rated financial institutions to mitigate counterparty risk.

Our net sales to customers located outside of the United States represented approximately 70%, 77%, and 80% of our total net sales in 2019, 2018, and 2017, respectively. We expect that net sales to customers outside the United States will continue to represent a large percentage of our total net sales. Our net sales denominated in currencies other than the U.S. dollar represented approximately 4%, 1%, and 1% of total net sales in 2019, 2018, and 2017, respectively.

A 10% change in foreign exchange rates would have an immaterial impact on the consolidated results of operations since most of our sales outside the United States are denominated in U.S. dollars.

Item 8. Financial Statements and Supplementary Data

Our Consolidated Financial Statements are listed in the Index to Consolidated Financial Statements and Financial Statement Schedule filed as part of this Form 10-K.

Item 9. Changes in and Disagreements with Accountants on Accounting and Financial Disclosure

None.

38

Table of Contents

Item 9A. Controls and Procedures

Management’s Report on Internal Control over Financial Reporting

Our principal executive and financial officers have evaluated and concluded that our disclosure controls and procedures are effective as of December 31, 2019. The disclosure controls and procedures are designed to ensure that the information required to be disclosed in this report filed under the Securities Exchange Act of 1934 is recorded, processed, summarized, and reported within the time periods specified in the Securities and Exchange Commission’s rules and forms and is accumulated and communicated to our principal executive and financial officers as appropriate to allow timely decisions regarding required disclosure.

Our principal executive and financial officers are responsible for establishing and maintaining adequate internal control over financial reporting, which is a process designed and put into effect to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. Using the criteria established in the Internal Control — Integrated Framework (2013) published by the Committee of Sponsoring Organizations of the Treadway Commission (“COSO”), Management has evaluated, assessed, and concluded that internal control over financial reporting is effective as of December 31, 2019.

KPMG LLP, an independent registered public accounting firm, has audited the consolidated financial statements included in this Annual Report on Form 10-K and, as part of their audit, has issued their report, included herein, on the effectiveness of our internal control over financial reporting.

Changes in Internal Control over Financial Reporting

During the quarter ended December 31, 2019, there were no changes in internal control that have materially affected or are reasonably likely to materially affect internal control over financial reporting.

39

Table of Contents

Report of Independent Registered Public Accounting Firm

To the Stockholders and Board of Directors

Veeco Instruments Inc.:

Opinion on Internal Control Over Financial Reporting

We have audited Veeco Instruments Inc.’s and subsidiaries’ (the Company) internal control over financial reporting as of December 31, 2019, based on criteria established in Internal Control – Integrated Framework (2013) issued by the Committee of Sponsoring Organizations of the Treadway Commission. In our opinion, the Company maintained, in all material respects, effective internal control over financial reporting as of December 31, 2019, based on criteria established in Internal Control – Integrated Framework (2013) issued by the Committee of Sponsoring Organizations of the Treadway Commission.

We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States) (PCAOB), the consolidated balance sheets of the Company as of December 31, 2019 and 2018, the related consolidated statements of operations, comprehensive income (loss), stockholders’ equity, and cash flows for each of the years in the three-year period ended December 31, 2019, and the related notes and financial statement schedule II – valuation and qualifying accounts (collectively, the consolidated financial statements), and our report dated February 21, 2020 expressed an unqualified opinion on those consolidated financial statements.

Basis for Opinion

The Company’s management is responsible for maintaining effective internal control over financial reporting and for its assessment of the effectiveness of internal control over financial reporting, included in the accompanying Management’s Report on Internal Control over Financial Reporting. Our responsibility is to express an opinion on the Company’s internal control over financial reporting based on our audit. We are a public accounting firm registered with the PCAOB and are required to be independent with respect to the Company in accordance with the U.S. federal securities laws and the applicable rules and regulations of the Securities and Exchange Commission and the PCAOB.

We conducted our audit in accordance with the standards of the PCAOB. Those standards require that we plan and perform the audit to obtain reasonable assurance about whether effective internal control over financial reporting was maintained in all material respects. Our audit of internal control over financial reporting included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, and testing and evaluating the design and operating effectiveness of internal control based on the assessed risk. Our audit also included performing such other procedures as we considered necessary in the circumstances. We believe that our audit provides a reasonable basis for our opinion.

Definition and Limitations of Internal Control Over Financial Reporting

A company’s internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A company’s internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the company’s assets that could have a material effect on the financial statements.

Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become

40

Table of Contents

inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate.

/s/ KPMG LLP

Melville, New York
February 21, 2020

41

Table of Contents

Item 9B. Other Information

None.

PART III

Item 10. Directors, Executive Officers and Corporate Governance

Information required by this Item that will appear under the headings “Governance,” “Executive Officers,” and “Delinquent Section 16(a) Reports” in the definitive proxy statement to be filed with the SEC relating to our 2020 Annual Meeting of Stockholders is incorporated herein by reference.

We have adopted a Code of Ethics for Senior Officers (the “Code”) which applies to our chief executive officer, principal financial officer, principal accounting officer, and persons performing similar functions. A copy of the Code can be found on our website (www.veeco.com). We intend to disclose on our website the nature of any future amendments to and waivers of the Code that apply to the chief executive officer, principal financial officer, principal accounting officer, or persons performing similar functions. We have also adopted a Code of Business Conduct which applies to all of our employees, including those listed above, as well as to our directors. A copy of the Code of Business Conduct can be found on our website (www.veeco.com). The website address above is intended to be an inactive, textual reference only. None of the material on this website is part of this report.

Item 11. Executive Compensation

Information required by this Item that will appear under the heading “Compensation” in the definitive proxy statement to be filed with the SEC relating to our 2020 Annual Meeting of Stockholders is incorporated herein by reference.

Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters

Information required by this Item that will appear under the headings “Security Ownership of Certain Beneficial Owners and Management” and “Equity Compensation Plan Information” in the definitive proxy statement to be filed with the SEC relating to our 2020 Annual Meeting of Stockholders is incorporated herein by reference.

Item 13. Certain Relationships and Related Transactions, and Director Independence

Information required by this Item that will appear under the headings “Certain Relationships and Related Transactions” and “Independence of Board” in the definitive proxy statement to be filed with the SEC relating to our 2020 Annual Meeting of Stockholders is incorporated herein by reference.

Item 14. Principal Accounting Fees and Services

Information required by this Item that will appear under the heading “Independent Auditor Fees and Other Matters” in the definitive proxy statement to be filed with the SEC relating to our 2020 Annual Meeting of Stockholders is incorporated herein by reference.

42

Table of Contents

PART IV

Item 15. Exhibits, Financial Statement Schedules

(a)   (1)  The Registrant’s financial statements together with a separate table of contents are annexed hereto

(2)  Financial Statement Schedules are listed in the separate table of contents annexed hereto.

(3)  Exhibits

Unless otherwise indicated, each of the following exhibits has been previously filed with the Securities and Exchange Commission by the Company under File No. 0-16244.

Filed or

Exhibit

Incorporated by Reference

Furnished

Number

    

Exhibit Description

    

Form

    

Exhibit

    

Filing Date

    

Herewith

3.1

Amended and Restated Certificate of Incorporation of Veeco dated December 1, 1994, as amended June 2, 1997 and July 25, 1997.

10-Q

3.1

8/14/1997

3.2

Amendment to Certificate of Incorporation of Veeco dated May 29, 1998.

10-K

3.2

3/14/2001

3.3

Amendment to Certificate of Incorporation of Veeco dated May 5, 2000.

10-Q

3.1

8/14/2000

3.4

Amendment to Certificate of Incorporation of Veeco dated May 16, 2002.

10-Q

3.1

10/26/2009

3.5

Amendment to Certificate of Incorporation of Veeco dated May 18, 2010.

10-K

3.8

2/24/2011

3.6

Fifth Amended and Restated Bylaws of Veeco effective February 5, 2016.

8-K

3.1

2/10/2016

3.7

Certificate of Designation, Preferences, and Rights of Series A Junior Participating Preferred Stock of Veeco dated March 14, 2001.

10-Q

3.1

5/9/2001

4.1

Indenture, dated as of January 18, 2017, by and between Veeco Instruments Inc. and U.S. Bank National Association, as Trustee (relating to the 2.70% Convertible Notes due 2023).

8-K

4.1

1/18/2017

4.2

First Supplemental Indenture, dated as of January 18, 2017, by and between Veeco Instruments Inc. and U.S. Bank National Association, as Trustee (relating to the 2.70% Convertible Notes due 2023).

8-K

4.2

1/18/2017

4.3

Description of the Registrant’s Securities Registered Pursuant to Section 12 of the Securities Exchange Act of 1934

10-K

4.3

X

10.1*

Veeco Amended and Restated 2010 Stock Incentive Plan, effective May 14, 2010.

Def 14A

Appendix A

11/4/2013

10.2*

Veeco Amended and Restated 2010 Stock Incentive Plan, effective May 5, 2016.

S-8

10.1

6/2/2016

10.3

Veeco Amended and Restated 2010 Stock Incentive Plan, effective March 3, 2017.

10-Q

10.1

11/3/2017

10.4

Veeco Instruments Inc. 2019 Stock Incentive Plan.

S-8

10.1

5/7/2019

10.5

Ultratech, Inc. 1993 Stock Option/Stock Issuance Plan (as Amended and Restated as of May 31, 2011).

S-8

10.1

5/26/2017

10.6*

Form of Notice of Performance Share Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective June 2015.

10-Q

10.1

8/3/2015

43

Table of Contents

Filed or

Exhibit

Incorporated by Reference

Furnished

Number

    

Exhibit Description

    

Form

    

Exhibit

    

Filing Date

    

Herewith

10.7*

Form of Notice of Performance Share Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective June 2016.

10-Q

10.1

11/1/2016

10.8*

Form of Notice of Critical Priorities Performance Share Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective June 2016.

10-Q

10.2

11/1/2016

10.9*

Form of Notice of Performance Share Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective March 2018.

10-Q

10.1

5/7/2018

10.10*

Form of Notice of Restricted Stock Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective March 2018.

10-Q

10.2

5/7/2018

10.11

Form of Notice of Performance Restricted Stock Unit Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective March 2019.

10-Q

 

10.1

 

5/7/2019

10.12

Form of Notice of Restricted Stock Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective March 2019 (time-based version A)

10-Q

 

10.2

 

5/7/2019

10.13

Form of Notice of Restricted Stock Award and related terms and conditions pursuant to the Veeco 2010 Stock Incentive Plan, effective March 2019 (time-based version B)

10-Q

 

10.3

 

5/7/2019

10.14*

Veeco 2013 Inducement Stock Incentive Plan, effective September 26, 2013

10-Q

10.1

11/4/2013

10.15*

Veeco Instruments Inc. 2016 Employee Stock Purchase Plan.

S-8

10.9

6/2/2016

10.16

First Amendment to Veeco Instruments Inc. 2016 Employee Stock Purchase Plan.

S-8

10.11

5/7/2019

10.17

Form of Amended and Restated Indemnification Agreement entered into between Veeco and each of its directors and executive officers (August 2017).

10-Q

10.2

8/3/2017

10.18*

Veeco Amended and Restated Senior Executive Change in Control Policy, effective as of January 1, 2014.

10-K

10.22

2/28/2014

10.19*

Letter Agreement dated January 30, 2012 between Veeco and Dr. William J. Miller.

10-K

10.30

2/22/2012

10.20*

Letter Agreement dated August 29, 2018 between Veeco and Dr. William J. Miller.

8-K

10.2

9/4/2018

10.21

Amendment dated March 22, 2019 to the Letter Agreement between Veeco and William J. Miller, Ph.D.

10-Q

10.4

5/7/2019

10.22*

Employment Agreement effective as of July 1, 2007 between Veeco and John R. Peeler.

10-Q

10.3

8/7/2007

10.23*

Amendment effective December 31, 2008 to Employment Agreement between Veeco and John R. Peeler.

10-K

10.38

3/2/2009

10.24*

Second Amendment effective June 11, 2010 to Employment Agreement between Veeco and John R. Peeler.

10-Q

10.1

7/29/2010

44

Table of Contents

Filed or

Exhibit

Incorporated by Reference

Furnished

Number

    

Exhibit Description

    

Form

    

Exhibit

    

Filing Date

    

Herewith

10.25*

Third Amendment effective April 25, 2012 to Employment Agreement between Veeco and John R. Peeler.

10-Q

10.2

5/9/2012

10.26*

Amendment dated June 12, 2014 to Employment Agreement between Veeco and John R. Peeler.

10-Q

10.3

7/31/2014

10.27*

Amendment dated June 12, 2017 to Employment Agreement between Veeco and John R. Peeler.

10-Q

10.1

8/3/2017

10.28*

Amendment dated August 29, 2018 to Employment Agreement between Veeco and John R. Peeler.

8-K

10.1

9/4/2018

10.29*

Letter Agreement dated April 8, 2014 between Veeco and Shubham Maheshwari.

10-Q

10.1

7/31/2014

10.30*

Letter Agreement dated August 29, 2018 between Veeco and Shubham Maheshwari.

8-K

10.3

9/4/2018

10.31

Amendment dated March 22, 2019 to the Letter Agreement between Veeco and Shubham Maheshwari.

10-Q

10.5

5/7/2019

10.32*

Letter Agreement dated January 21, 2004 between Veeco and John P. Kiernan.

10-K

10.38

3/12/2004

10.33*

Amendment effective June 9, 2006 to Letter Agreement between Veeco and John P. Kiernan.

10-Q

10.3

8/4/2006

10.34*

Amendment effective December 31, 2008 to Letter Agreement between Veeco and John P. Kiernan.

10-K

10.40

3/2/2009

10.35

Letter dated January 1, 2020 from Veeco to John P. Kiernan.

8-K

99.2

1/2/2020

21.1

Subsidiaries of the Registrant.

X

23.1

Consent of KPMG LLP.

X

31.1

Certification of Chief Executive Officer pursuant to Rule 13a—14(a) or Rule 15d—14(a) of the Securities and Exchange Act of 1934.

X

31.2

Certification of Chief Financial Officer pursuant to Rule 13a—14(a) or Rule 15d—14(a) of the Securities and Exchange Act of 1934.

X

32.1

Certification of Chief Executive Officer pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes - Oxley Act of 2002.

X

32.2

Certification of Chief Financial Officer pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes - Oxley Act of 2002.

X

101.INS

XBRL Instance Document – the instance document does not appear in the Interactive Data File because its XBRL tags are embedded within the Inline XBRL document.

**

101.XSD

XBRL Schema.

**

101.PRE

XBRL Presentation.

**

101.CAL

XBRL Calculation.

**

101.DEF

XBRL Definition.

**

101.LAB

XBRL Label.

**

104

Cover Page Interactive Data File (formatted as Inline XBRL and contained in Exhibit 101).

**

*    Indicates a management contract or compensatory plan or arrangement, as required by Item 15(a) (3) of Form 10-K.

**  Filed herewith electronically

45

Table of Contents

SIGNATURES

Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, as amended, the Registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized, on February 21, 2020.

Veeco Instruments Inc.

By:

/S/ WILLIAM J. MILLER, Ph.D.

William J. Miller, Ph.D.

Chief Executive Officer

Pursuant to the requirements of the Securities Exchange Act of 1934, as amended, this report has been signed below by the following persons on behalf of the Registrant and in the capacities indicated, on February 21, 2020.

Signature

    

Title

/s/ WILLIAM J. MILLER, Ph.D.

Chief Executive Officer and Director

William J. Miller, Ph.D.

(principal executive officer)

/s/ JOHN P. KIERNAN

Senior Vice President and Chief Financial Officer

John P. Kiernan

(principal financial & accounting officer)

/s/ JOHN R. PEELER

Chairman

John R. Peeler

/s/ KATHLEEN A. BAYLESS

Director

Kathleen A. Bayless

/s/ RICHARD A. D’AMORE

Director

Richard A. D’Amore

/s/ GORDON HUNTER

Director

Gordon Hunter

/s/ KEITH D. JACKSON

Director

Keith D. Jackson

/s/ MARY JANE RAYMOND

Director

Mary Jane Raymond

/s/ PETER J. SIMONE

Director

Peter J. Simone

/s/ THOMAS ST. DENNIS

Director

Thomas St. Dennis

46

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Index to Consolidated Financial Statements and Financial Statement Schedule

Page

Report of Independent Registered Public Accounting Firm

F-2

Consolidated Balance Sheets at December 31, 2019 and 2018

F-4

Consolidated Statements of Operations for the years ended December 31, 2019, 2018, and 2017

F-5

Consolidated Statements of Comprehensive Income (Loss) for the years ended December 31, 2019, 2018, and 2017

F-6

Consolidated Statements of Stockholders’ Equity for the years ended December 31, 2019, 2018, and 2017

F-7

Consolidated Statements of Cash Flows for the years ended December 31, 2019, 2018, and 2017

F-8

Notes to Consolidated Financial Statements

F-9

Schedule II—Valuation and Qualifying Accounts

S-1

F-1

Table of Contents

Report of Independent Registered Public Accounting Firm

To the Stockholders and Board of Directors

Veeco Instruments Inc.:

Opinion on the Consolidated Financial Statements

We have audited the accompanying consolidated balance sheets of Veeco Instruments Inc. and subsidiaries (the Company) as of December 31, 2019 and 2018, the related consolidated statements of operations, comprehensive income (loss), stockholders’ equity, and cash flows for each of the years in the three-year period ended December 31, 2019, and the related notes and financial statement schedule II – valuation and qualifying accounts (collectively, the consolidated financial statements). In our opinion, the consolidated financial statements present fairly, in all material respects, the financial position of the Company as of December 31, 2019 and 2018, and the results of its operations and its cash flows for each of the years in the three-year period ended December 31, 2019, in conformity with U.S. generally accepted accounting principles.

We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States) (PCAOB), the Company’s internal control over financial reporting as of December 31, 2019, based on criteria established in Internal Control – Integrated Framework (2013) issued by the Committee of Sponsoring Organizations of the Treadway Commission, and our report dated February 21, 2020 expressed an unqualified opinion on the effectiveness of the Company’s internal control over financial reporting.

Basis for Opinion

These consolidated financial statements are the responsibility of the Company’s management. Our responsibility is to express an opinion on these consolidated financial statements based on our audits. We are a public accounting firm registered with the PCAOB and are required to be independent with respect to the Company in accordance with the U.S. federal securities laws and the applicable rules and regulations of the Securities and Exchange Commission and the PCAOB.

We conducted our audits in accordance with the standards of the PCAOB. Those standards require that we plan and perform the audit to obtain reasonable assurance about whether the consolidated financial statements are free of material misstatement, whether due to error or fraud. Our audits included performing procedures to assess the risks of material misstatement of the consolidated financial statements, whether due to error or fraud, and performing procedures that respond to those risks. Such procedures included examining, on a test basis, evidence regarding the amounts and disclosures in the consolidated financial statements. Our audits also included evaluating the accounting principles used and significant estimates made by management, as well as evaluating the overall presentation of the consolidated financial statements. We believe that our audits provide a reasonable basis for our opinion.

Critical Audit Matter

The critical audit matter communicated below is a matter arising from the current period audit of the consolidated financial statements that was communicated or required to be communicated to the audit committee and that: (1) relates to accounts or disclosures that are material to the consolidated financial statements and (2) involved our especially challenging, subjective, or complex judgment. The communication of a critical audit matter does not alter in any way our opinion on the consolidated financial statements, taken as a whole, and we are not, by communicating the critical audit matter below, providing a separate opinion on the critical audit matter or on the accounts or disclosures to which it relates.

Assessment of the value of excess and obsolete inventory

As discussed in Note 1 to the consolidated financial statements, the Company assesses the valuation of all inventories, including materials, work-in-process, and finished goods, each reporting period. Obsolete inventory or inventory in excess of the Company’s estimated usage requirement is written down to its estimated net realizable value if less than

F-2

Table of Contents

cost. Estimates of usage include the Company’s analysis of anticipated demand, possible alternative uses of its inventory, as well as other qualitative factors. As of December 31, 2019, the Company’s inventories totaled $133.1 million, representing 16.3% of total assets.

We identified the assessment of the value of excess and obsolete inventory as a critical audit matter. Subjective auditor judgment was required to evaluate the Company’s estimates of anticipated demand and possible alternative uses of its inventory, which are affected by market and economic conditions outside the Company’s control.

The primary procedures we performed to address this critical audit matter included the following. We tested certain internal controls over the Company’s inventory valuation process, including controls related to the development of estimates of anticipated demand and possible alternative uses of inventory. We evaluated current year estimates of anticipated demand used to value excess and obsolete inventory when it significantly differed from historical sales volumes and assessed possible alternative uses of inventory. For certain inventory items, we compared the prior year anticipated demand to actual results to assess the Company’s ability to accurately forecast. We compared possible alternative uses of certain inventory determined in the prior year to actual uses in the current year.

/s/ KPMG LLP

We have served as the Company’s auditor since 2015.

Melville, New York

February 21, 2020

F-3

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Consolidated Balance Sheets

(in thousands, except share amounts)

December 31,

December 31,

    

2019

    

2018

Assets

Current assets:

Cash and cash equivalents

$

129,294

$

212,273

Restricted cash

657

809

Short-term investments

 

115,252

 

48,189

Accounts receivable, net

 

45,666

 

66,808

Contract assets

25,351

10,397

Inventories

 

133,067

 

156,311

Deferred cost of sales

 

445

 

3,072

Prepaid expenses and other current assets

14,966

22,221

Assets held for sale

11,180

Total current assets

 

475,878

 

520,080

Property, plant, and equipment, net

 

75,711

 

80,284

Operating lease right-of-use assets

14,453

Intangible assets, net

61,518

85,149

Goodwill

 

181,943

 

184,302

Deferred income taxes

1,549

1,869

Other assets

 

7,036

 

29,132

Total assets

$

818,088

$

900,816

Liabilities and stockholders' equity

Current liabilities:

Accounts payable

$

21,281

$

39,611

Accrued expenses and other current liabilities

 

41,243

 

46,450

Customer deposits and deferred revenue

 

54,870

 

72,736

Income taxes payable

 

830

 

1,256

Total current liabilities

 

118,224

 

160,053

Deferred income taxes

 

5,648

 

5,690

Long-term debt

 

300,068

 

287,392

Operating lease long-term liabilities

10,300

Other liabilities

 

9,336

 

9,906

Total liabilities

 

443,576

 

463,041

Stockholders' equity:

Preferred stock, $0.01 par value; 500,000 shares authorized; no shares issued and outstanding.

 

Common stock, $0.01 par value; 120,000,000 shares authorized; 48,994,346 and 48,547,417 shares issued at December 31, 2019 and December 31, 2018, respectively; 48,994,346 and 48,024,685 shares outstanding at December 31, 2019 and December 31, 2018, respectively.

 

490

 

485

Additional paid-in capital

 

1,071,058

 

1,061,325

Accumulated deficit

 

(698,930)

 

(619,983)

Accumulated other comprehensive income

 

1,894

 

1,820

Treasury stock, at cost, 522,732 shares at December 31, 2018.

(5,872)

Total stockholders' equity

 

374,512

 

437,775

Total liabilities and stockholders' equity

$

818,088

$

900,816

See accompanying Notes to the Consolidated Financial Statements.

F-4

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Consolidated Statements of Operations

(in thousands, except per share amounts)

For the year ended December 31,

    

2019

    

2018

    

2017

Net sales

$

419,349

$

542,082

$

475,686

Cost of sales

 

261,155

 

348,363

 

299,458

Gross profit

 

158,194

 

193,719

 

176,228

Operating expenses, net:

Research and development

 

90,557

 

97,755

 

81,987

Selling, general, and administrative

 

79,749

 

92,060

 

100,250

Amortization of intangible assets

 

17,085

 

32,351

 

35,475

Restructuring

 

6,403

 

8,556

 

11,851

Acquisition costs

2,959

17,786

Asset impairment

 

4,020

 

375,172

 

1,139

Other operating expense (income), net

(42)

368

(392)

Total operating expenses, net

197,772

609,221

248,096

Operating income (loss)

 

(39,578)

 

(415,502)

 

(71,868)

Interest income

 

4,680

 

3,186

 

2,335

Interest expense

 

(22,085)

 

(21,518)

 

(19,457)

Other income (expense), net

(20,973)

Income (loss) before income taxes

 

(77,956)

 

(433,834)

 

(88,990)

Income tax expense (benefit)

 

777

 

(26,746)

 

(37,594)

Net income (loss)

$

(78,733)

$

(407,088)

$

(51,396)

Income (loss) per common share:

Basic

$

(1.66)

$

(8.63)

$

(1.16)

Diluted

$

(1.66)

$

(8.63)

$

(1.16)

Weighted average number of shares:

Basic

 

47,482

 

47,151

 

44,174

Diluted

 

47,482

 

47,151

 

44,174

See accompanying Notes to the Consolidated Financial Statements.

F-5

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Consolidated Statements of Comprehensive Income (Loss)

(in thousands)

For the year ended December 31,

    

2019

    

2018

    

2017

Net income (loss)

$

(78,733)

$

(407,088)

$

(51,396)

Other comprehensive income (loss), net of tax:

Available-for-sale securities:

Change in net unrealized gains or losses

 

49

 

11

 

(7)

Unrealized gain (loss) on available-for-sale securities

 

49

 

11

(7)

Currency translation adjustments:

Change in currency translation adjustments

 

(19)

 

5

 

42

Reclassification adjustments for net (gains) losses included in net income

 

44

 

(8)

 

Net changes related to currency translation adjustments

 

25

 

(3)

 

42

Other comprehensive income (loss), net of tax

 

74

 

8

 

35

Total comprehensive income (loss)

$

(78,659)

$

(407,080)

$

(51,361)

See accompanying Notes to the Consolidated Financial Statements.

F-6

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Consolidated Statements of Stockholders' Equity

(in thousands)

    

    

    

    

    

    

Accumulated

    

Additional

Other

Common Stock

Treasury Stock

Paid-in

Accumulated

Comprehensive

Shares

Amount

Shares

    

Amount

Capital

Deficit

Income

Total

Balance at December 31, 2016

 

40,715

407

127

(2,309)

763,303

(161,474)

1,777

$

601,704

Net loss

 

 

 

 

 

 

(51,396)

 

 

(51,396)

Other comprehensive loss, net of tax

 

 

 

 

 

 

 

35

 

35

Share-based compensation expense

 

 

 

 

 

24,396

 

 

 

24,396

Net issuance under employee stock plans

 

313

3

(245)

4,043

(9,795)

(5,749)

Stock issuance for business acquisition

7,201

72

228,800

228,872

Convertible Senior Notes, equity component

45,249

45,249

Purchases of common stock

 

 

203

 

(3,018)

 

 

 

 

(3,018)

Balance at December 31, 2017

 

48,229

482

85

(1,284)

1,051,953

(212,870)

1,812

840,093

Net loss

 

 

 

 

 

 

(407,088)

 

 

(407,088)

Other comprehensive income, net of tax

 

 

 

 

 

 

 

8

 

8

Share-based compensation expense

 

 

 

 

 

16,074

 

 

 

16,074

Net issuance under employee stock plans

318

3

(512)

6,721

(6,702)

(25)

(3)

Purchases of common stock

 

 

 

950

 

(11,309)

 

 

 

 

(11,309)

Balance at December 31, 2018

 

48,547

485

523

(5,872)

1,061,325

(619,983)

1,820

437,775

Net loss

 

(78,733)

 

(78,733)

Other comprehensive income, net of tax

 

74

 

74

Share-based compensation expense

 

15,270

 

15,270

Net issuance under employee stock plans

447

5

(523)

5,872

(5,537)

(214)

126

Balance at December 31, 2019

 

48,994

$

490

$

$

1,071,058

$

(698,930)

$

1,894

$

374,512

See accompanying Notes to the Consolidated Financial Statements.

F-7

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Consolidated Statements of Cash Flows

(in thousands)

For the year ended December 31,

    

2019

    

2018

    

2017

Cash Flows from Operating Activities

Net income (loss)

$

(78,733)

$

(407,088)

$

(51,396)

Adjustments to reconcile net income (loss) to net cash provided by (used in) operating activities:

Depreciation and amortization

 

34,399

 

49,998

 

50,095

Non-cash interest expense

12,676

11,762

10,446

Deferred income taxes

 

360

 

(27,620)

 

(35,363)

Share-based compensation expense

 

15,270

 

16,074

 

24,396

Asset impairment

4,020

375,172

1,139

Impairment of equity investments

20,973

Provision for bad debts

392

99

Changes in operating assets and liabilities:

Accounts receivable and contract assets

 

5,796

 

21,821

 

10,240

Inventories and deferred cost of sales

 

14,969

 

(24,678)

 

6,244

Prepaid expenses and other current assets

 

7,520

 

11,216

 

(10,204)

Accounts payable and accrued expenses

 

(26,945)

 

(19,672)

 

11,308

Customer deposits and deferred revenue

 

(17,866)

 

(39,296)

 

22,446

Income taxes receivable and payable, net

 

(655)

 

(4,800)

 

775

Long-term income tax liability

(4,877)

Other, net

 

408

 

(627)

 

(355)

Net cash provided by (used in) operating activities

 

(7,416)

 

(37,738)

 

34,993

Cash Flows from Investing Activities

Acquisitions of businesses, net of cash acquired

(2,662)

(401,828)

Capital expenditures

 

(10,873)

 

(12,654)

 

(24,272)

Proceeds from the sale of investments

 

127,349

 

90,065

 

348,927

Payments for purchases of investments

 

(192,988)

 

(93,046)

 

(282,947)

Proceeds from held for sale assets

 

645

 

 

2,284

Net cash provided by (used in) investing activities

(75,867)

(18,297)

(357,836)

Cash Flows from Financing Activities

Proceeds (net of tax withholdings) from option exercises and employee stock purchase plan

 

3,106

 

3,064

 

2,992

Restricted stock tax withholdings

 

(2,980)

 

(3,069)

 

(8,741)

Purchases of common stock

(11,457)

(2,869)

Proceeds from long-term debt borrowings

335,752

Principal payments on long-term debt

(1,194)

Net cash provided by (used in) financing activities

 

126

 

(11,462)

 

325,940

Effect of exchange rate changes on cash and cash equivalents

 

26

 

(4)

 

42

Net increase (decrease) in cash, cash equivalents, and restricted cash

 

(83,131)

 

(67,501)

 

3,139

Cash, cash equivalents, and restricted cash - beginning of period

 

213,082

 

280,583

 

277,444

Cash, cash equivalents, and restricted cash - end of period

$

129,951

$

213,082

$

280,583

Supplemental Disclosure of Cash Flow Information

Interest paid

$

9,408

$

9,708

$

4,675

Income taxes paid

2,931

4,799

1,939

Non-cash operating and financing activities

Net transfer of inventory to property, plant and equipment

4,916

1,479

(97)

Right-of-use assets obtained in exchange for lease obligations

5,576

See accompanying Notes to the Consolidated Financial Statements.

F-8

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements

Note 1 — Significant Accounting Policies

(a) Description of Business

Veeco Instruments Inc. (together with its consolidated subsidiaries, “Veeco,” or the “Company”) operates in a single segment: the development, manufacture, sales, and support of semiconductor and thin film process equipment primarily sold to make electronic devices.

(b) Basis of Presentation

The accompanying audited Consolidated Financial Statements of the Company have been prepared in accordance with United States generally accepted accounting principles (“GAAP”). The Company reports interim quarters on a 13-week basis ending on the last Sunday of each period, which is determined at the start of each year. The Company’s fourth quarter always ends on the last day of the calendar year, December 31. During 2019 the interim quarters ended on March 31, June 30, and September 29, and during 2018 the interim quarters ended on April 1, July 1, and September 30. The Company reports these interim quarters as March 31, June 30, and September 30 in its interim consolidated financial statements.

(c) Use of Estimates

The preparation of financial statements in conformity with GAAP requires management to make estimates and assumptions that affect the amounts reported in the financial statements and accompanying notes. Although these estimates are based on management’s knowledge of current events and actions it may undertake in the future, these estimates may ultimately differ from actual results. Significant items subject to such estimates and assumptions include: (i) stand-alone selling prices for the Company’s products and services; (ii) allowances for doubtful accounts; (iii) inventory obsolescence; (iv) the useful lives and expected future cash flows of property, plant, and equipment and identifiable intangible assets; (v) the fair value of the Company’s reporting unit and related goodwill; (vi) investment valuations and the valuation of derivatives, deferred tax assets, and assets acquired in business combinations; (vii) the recoverability of long-lived assets; (viii) liabilities for product warranty and legal contingencies; (ix) share-based compensation; (x) lease term and incremental borrowing rates used in determining operating lease assets and liabilities; and (xi) income tax uncertainties.

(d) Principles of Consolidation

The Consolidated Financial Statements include the accounts of the Company and its subsidiaries. Intercompany balances and transactions have been eliminated in consolidation. Companies acquired during each reporting period are reflected in the results of the Company effective from their respective dates of acquisition through the end of the reporting period.

(e) Foreign Currencies

Assets and liabilities of the Company’s foreign subsidiaries that operate using functional currencies other than the U.S. dollar are translated using the exchange rates in effect at the balance sheet date. Results of operations are translated using monthly average exchange rates. Adjustments arising from the translation of the foreign currency financial statements of the Company’s subsidiaries into U.S. dollars, including intercompany transactions of a long-term nature, are reported as currency translation adjustments in “Accumulated other comprehensive income” in the Consolidated Balance Sheets. Foreign currency transaction gains or losses are included in “Other operating expense (income), net” in the Consolidated Statements of Operations.

(f) Revenue Recognition

Revenue is recognized upon the transfer of control of the promised product or service to the customer in an amount that reflects the consideration the Company expects to receive in exchange for such product or service. The Company’s contracts with customers generally do not contain variable consideration. In the rare instances where variable

F-9

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

consideration is included, the Company estimates the amount of variable consideration and determines what portion of that, if any, has a high probability of significant subsequent revenue reversal, and if so, that amount is excluded from the transaction price. The Company’s contracts with customers frequently contain multiple deliverables, such as systems, upgrades, components, spare parts, installation, maintenance, and service plans. Judgment is required to properly identify the performance obligations within a contract and to determine how the revenue should be allocated among the performance obligations. The Company also evaluates whether multiple transactions with the same customer or related parties should be considered part of a single contract based on an assessment of whether the contracts or agreements are negotiated or executed within a short time frame of each other or if there are indicators that the contracts are negotiated in contemplation of one another.

 

When there are separate units of accounting, the Company allocates revenue to each performance obligation on a relative stand-alone selling price basis. The stand-alone selling prices are determined based on the prices at which the Company separately sells the systems, upgrades, components, spare parts, installation, maintenance, and service plans. For items that are not sold separately, the Company estimates stand-alone selling prices generally using an expected cost plus margin approach.

 

Most of the Company’s revenue is recognized at a point in time when the performance obligation is satisfied. The Company considers many facts when evaluating each of its sales arrangements to determine the timing of revenue recognition, including its contractual obligations and the nature of the customer’s post-delivery acceptance provisions. The Company’s system sales arrangements, including certain upgrades, generally include field acceptance provisions that may include functional or mechanical test procedures. For many of these arrangements, a customer source inspection of the system is performed in the Company’s facility, test data is sent to the customer documenting that the system is functioning to the agreed upon specifications prior to delivery, or other quality assurance testing is performed internally to ensure system functionality prior to shipment. Historically, such source inspection or test data replicates the field acceptance provisions that are performed at the customer’s site prior to final acceptance of the system. When the Company objectively demonstrates that the criteria specified in the contractual acceptance provisions are achieved prior to delivery either through customer testing or the Company’s historical experience of its tools meeting specifications, transfer of control of the product to the customer is considered to have occurred and revenue is recognized upon system delivery since there is no substantive contingency remaining related to the acceptance provisions at that date. For new products, new applications of existing products, or for products with substantive customer acceptance provisions where the Company cannot objectively demonstrate that the criteria specified in the contractual acceptance provisions have been achieved prior to delivery, revenue and the associated costs are deferred. The Company recognizes such revenue and costs upon obtaining objective evidence that the acceptance provisions can be achieved, assuming all other revenue recognition criteria have been met.

 

In certain cases, the Company’s contracts with customers contain a billing retention, typically 10% of the sales price, which is billed by the Company and payable by the customer when field acceptance provisions are completed. Revenue recognized in advance of the amount that has been billed is recorded as a contract asset on the Consolidated Balance Sheets.

 

The Company recognizes revenue related to maintenance and service contracts over time based upon the respective contract term. Installation revenue is recognized over time as the installation services are performed. The Company recognizes revenue from the sales of components, spare parts, and specified service engagements at a point in time, which is typically consistent with the time of delivery in accordance with the terms of the applicable sales arrangement.

 

The Company may receive customer deposits on system transactions. The timing of the transfer of goods or services related to the deposits is either at the discretion of the customer or expected to be within one year from the deposit receipt. As such, the Company does not adjust transaction prices for the time value of money. Incremental direct costs incurred related to the acquisition of a customer contract, such as sales commissions, are expensed as incurred since the expected performance period is one year or less.

 

F-10

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

The Company has elected to treat shipping and handling costs as a fulfillment activity, and the Company includes such costs in “Cost of sales” in the Consolidated Statements of Operations when the Company recognizes revenue for the related goods. Taxes assessed by governmental authorities that are collected by the Company from a customer are excluded from revenue.

(g) Warranty Costs

The Company typically provides standard warranty coverage on its systems for one year from the date of final acceptance by providing labor and parts necessary to repair the systems during the warranty period. The Company records the estimated warranty cost when revenue is recognized on the related system. Warranty cost is included in “Cost of sales” in the Consolidated Statements of Operations. The estimated warranty cost is based on the Company’s historical experience with its systems and regional labor costs. The Company calculates the average service hours by region and parts expense per system utilizing actual service records to determine the estimated warranty charge. The Company updates its warranty estimates on a quarterly basis when the actual product performance or field expense differs from original estimates.

(h) Shipping and Handling Costs

Shipping and handling costs are expenses incurred to move, package, and prepare the Company’s products for shipment and to move the products to a customer’s designated location. These costs are generally comprised of payments to third-party shippers. Shipping and handling costs are included in “Cost of sales” in the Consolidated Statements of Operations.

(i) Research and Development Costs

Research and development costs are expensed as incurred and include charges for the development of new technology and the transition of existing technology into new products or services.

(j) Advertising Expense

The cost of advertising is expensed as incurred and totaled $0.5 million, $0.9 million, and $0.9 million for the years ended December 31, 2019, 2018, and 2017, respectively.

(k) Accounting for Share-based Compensation

Share-based awards exchanged for employee services are accounted for under the fair value method. Accordingly, share-based compensation cost is measured at the grant date based on the estimated fair value of the award. The expense for awards is recognized over the employee’s requisite service period (generally the vesting period of the award). The Company has elected to treat awards with only service conditions and with graded vesting as one award. Consequently, the total compensation expense is recognized straight-line over the entire vesting period, so long as the compensation cost recognized at any date at least equals the portion of the grant date fair value of the award that is vested at that date.

In addition to stock options, restricted share awards (“RSAs”) and restricted stock units (“RSUs”) with time-based vesting, the Company grants performance share units and awards (“PSUs” and “PSAs”) that have either performance or market conditions. Compensation cost for PSUs and PSAs with performance conditions is recognized over the requisite service period based on the timing and expected level of achievement of the performance targets. A change in the assessment of performance attainment prior to the conclusion of the performance period is recognized in the period of the change in estimate. Compensation cost for PSUs and PSAs with market conditions is recognized over the requisite service period regardless of the expected level of achievement. For all PSUs and PSAs, the number of shares issued to the employee at the conclusion of the service period may vary from the original target based upon the level of attainment of the performance or market conditions.

F-11

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

The Company uses the Black-Scholes option-pricing model to compute the estimated fair value of option awards and purchase rights under the Employee Stock Purchase Plan. The Company uses a Monte Carlo simulation to compute the estimated fair value of awards with market conditions. The Black-Scholes model and Monte Carlo simulation include assumptions regarding dividend yields, expected volatility, expected option term, and risk-free interest rates. See Note 15, “Stock Plans,” for additional information.

(l) Income Taxes

Income taxes are accounted for under the asset and liability method. Deferred tax assets and liabilities are recognized for the future tax consequences attributable to differences between the financial statement carrying amounts of existing assets and liabilities and their respective tax bases and operating loss and tax credit carryforwards. Deferred tax assets and liabilities are measured using enacted tax rates expected to apply to taxable income in the years in which those temporary differences are expected to be recovered or settled. The effect on deferred tax assets and liabilities from a change in tax rate is recognized in income in the period that includes the enactment date.

On December 22, 2017, the U.S. government enacted comprehensive tax legislation commonly referred to as the Tax Cuts and Jobs Act (the “2017 Tax Act”), which made broad and complex changes to the U.S. tax code. In response to the 2017 Tax Act, the SEC staff issued Staff Accounting Bulletin No. 118 (“SAB 118”) which provided guidance on accounting for the tax effects of 2017 Tax Act, including addressing any uncertainty or diversity of view in applying ASC 740, Income Taxes (“ASC 740”), in the reporting period in which the 2017 Tax Act was enacted. In addition, SAB 118 provided a measurement period that should not extend beyond one year from the 2017 Tax Act enactment date for companies to complete the accounting under ASC 740. During the year ended December 31, 2018, the Company finalized the accounting for the tax effects of 2017 Tax Act.

In January 2018, the FASB released guidance on the accounting for taxes under the global intangible low-taxed income (“GILTI”) provisions of the 2017 Tax Act. The GILTI provisions impose a tax on foreign income in excess of a deemed return on tangible assets of foreign operations. The Company has made a policy election to account for income taxes incurred under GILTI as a period cost.

(m) Concentration of Credit Risk

Financial instruments that potentially subject the Company to concentrations of credit risk consist primarily of cash and cash equivalents, investments, derivative financial instruments used in hedging activities, and accounts receivable. The Company invests in a variety of financial instruments and, by policy, limits the amount of credit exposure with any one financial institution or commercial issuer. Historically, the Company has not experienced any material credit losses on its investments.

The Company maintains an allowance reserve for potentially uncollectible accounts for estimated losses resulting from the inability of its customers to make required payments. The Company evaluates its allowance for doubtful accounts based on a combination of factors. In circumstances where specific invoices are deemed to be uncollectible, the Company provides a specific allowance for bad debt against the amount due to reduce the net recognized receivable to the amount reasonably expected to be collected. The Company also provides allowances based on its write-off history. The allowance for doubtful accounts totaled $0.6 million and $0.3 million at December 31, 2019 and 2018, respectively.

To further mitigate the Company’s exposure to uncollectable accounts, the Company may request certain customers provide a negotiable irrevocable letter of credit drawn on a reputable financial institution. These irrevocable letters of credit are typically issued to mature between zero and 90 days from the date the documentation requirements are met, typically when a system ships or upon receipt of final acceptance from the customer. The Company, at its discretion, may monetize these letters of credit on a non-recourse basis after they become negotiable but before maturity. The fees associated with the monetization are included in “Selling, general, and administrative” in the Consolidated Statements of Operations and were immaterial for the years ended December 31, 2019, 2018, and 2017.

F-12

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

(n) Fair Value of Financial Instruments

The carrying amounts of financial instruments, including cash equivalents, accounts receivable, accounts payable, and accrued expenses reflected in the consolidated financial statements approximate fair value due to their short-term maturities. The fair value of debt for footnote disclosure purposes, including current maturities, if any, is estimated using recently quoted market prices of the instrument, or if not available, a discounted cash flow analysis based on the estimated current incremental borrowing rates for similar types of instruments.

(o) Cash, Cash Equivalents, and Short-term Investments

All financial instruments purchased with an original maturity of three months or less at the time of purchase are considered cash equivalents. Such items may include liquid money market funds, certificate of deposit and time deposit accounts, U.S. treasuries, government agency securities, and corporate debt. Investments that are classified as cash equivalents are carried at cost, which approximates fair value. The Company’s cash and cash equivalents includes $78.5 million and $69.6 million of cash equivalents at December 31, 2019 and 2018, respectively.

A portion of the Company’s cash and cash equivalents is held by its subsidiaries throughout the world, frequently in each subsidiary’s respective functional currency, which is typically the U.S. dollar. Approximately 56% and 32% of cash and cash equivalents were maintained outside the United States at December 31, 2019 and 2018, respectively.

Short-term investments consist of marketable debt securities, and are generally classified as available-for-sale for use in current operations, if required, and are reported at fair value, with unrealized gains and losses, net of tax, presented as a separate component of stockholders’ equity under the caption “Accumulated other comprehensive income” on the Consolidated Balance Sheets. These securities can include U.S. treasuries, government agency securities, corporate debt, and commercial paper, all with maturities of greater than three months when purchased. All realized gains and losses and unrealized losses resulting from declines in fair value that are other than temporary are included in “Other operating expense (income), net” in the Consolidated Statements of Operations. The specific identification method is used to determine the realized gains and losses on investments.

Non-marketable equity securities are equity securities without readily observable market prices and are included in “Other assets” in the Consolidated Balance Sheets. Non-marketable securities are measured at cost, adjusted for changes in observable prices minus impairment. Changes in fair value are included in “Other operating expense (income), net” in the Consolidated Statements of Operations.

(p) Inventories

Inventories are stated at the lower of cost or net realizable value, with cost determined on a first-in, first-out basis. Each quarter the Company assesses the valuation and recoverability of all inventories: materials (raw materials, spare parts, and service inventory); work-in-process; and finished goods. Obsolete inventory or inventory in excess of management’s estimated usage requirement is written down to its estimated net realizable value if less than cost. The Company evaluates usage requirements by analyzing historical usage, anticipated demand, alternative uses of materials, and other qualitative factors. Unanticipated changes in demand for the Company’s products may require a write down of inventory, which would be reflected in cost of sales in the period the revision is made. Inventory acquired as part of a business combination is recorded at fair value on the date of acquisition. See Note 5, “Acquisitions and Dispositions,” for additional information.

(q) Business Combinations

The Company allocates the fair value of the purchase consideration of the Company’s acquisitions to the tangible assets, intangible assets, including in-process research and development (“IPR&D”), if any, and liabilities assumed, based on

F-13

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

estimated fair values. The excess of the fair value of purchase consideration over the fair values of these identifiable assets and liabilities is recorded as goodwill. Acquisition-related expenses are recognized separately from the business combination and are expensed as incurred. See Note 5, “Acquisitions and Dispositions,” for additional information.

(r) Goodwill and Indefinite-Lived Intangible Assets

Goodwill is an asset representing the future economic benefits arising from assets acquired in a business combination that are not individually identified and separately recognized. Goodwill is measured as the excess of the consideration transferred over the net fair value of identifiable assets acquired and liabilities assumed. Intangible assets with indefinite useful lives are measured at their respective fair values on the acquisition date. Intangible assets related to IPR&D projects are considered to be indefinite-lived until the completion or abandonment of the associated research and development (“R&D”) efforts. If and when development is complete, the associated assets would be deemed long-lived and would then be amortized based on their respective estimated useful lives at that point in time. Goodwill and indefinite-lived intangibles are not amortized into results of operations but instead are evaluated for impairment. The Company performs the evaluation in the beginning of the fourth quarter of each year or more frequently if impairment indicators arise.

In testing goodwill for impairment, the Company may first perform a qualitative assessment of whether it is more likely than not that the reporting unit’s fair value is less than its carrying amount, and, if so, the Company then quantitatively compares the fair value of the reporting unit to its carrying amount. If the fair value exceeds the carrying amount, goodwill is not impaired. If the carrying amount exceeds fair value, the Company then records an impairment loss equal to the difference, up to the carrying value of goodwill.

The Company determines the fair value of its reporting unit based on a reconciliation of the fair value of the reporting unit to the Company’s adjusted market capitalization. The adjusted market capitalization is calculated by multiplying the average share price of the Company’s common stock for the last ten trading days prior to the measurement date by the number of outstanding common shares and adding a control premium. The control premium is estimated using historical transactions in similar industries.

In testing indefinite-lived intangible assets for impairment, the Company may first perform a qualitative assessment of whether it is more likely than not that the fair value of the indefinite-lived intangible asset is less than its carrying amount, and, if so, the Company then quantitatively compares the fair value of the indefinite-lived intangible asset to its carrying amount. The Company determines the fair value of its indefinite-lived intangible assets using a discounted cash flow method.

(s) Long-lived Assets

Long-lived intangible assets consist of purchased technology, customer relationships, patents, trademarks and tradenames, and backlog and are initially recorded at fair value. Long-lived intangible assets are amortized over their estimated useful lives in a method reflecting the pattern in which the economic benefits are consumed or straight-lined if such pattern cannot be reliably determined.

Property, plant, and equipment are recorded at cost. Depreciation expense is calculated based on the estimated useful lives of the assets by using the straight-line method. Amortization of leasehold improvements is recognized using the straight-line method over the shorter of the remaining lease term or the estimated useful lives of the improvements.

Long-lived assets are reviewed for impairment whenever events or changes in circumstances indicate that the carrying amount of an asset may not be recoverable. If circumstances require a long-lived asset or asset group be tested for possible impairment, a recoverability test is performed utilizing undiscounted cash flows expected to be generated by that asset or asset group compared to its carrying amount. If the carrying amount of the long-lived asset or asset group is not recoverable on an undiscounted cash flow basis, impairment is recognized to the extent the carrying amount exceeds

F-14

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

its fair value. Fair value is determined through various valuation techniques including discounted cash flow models or, when available, quoted market values and third-party appraisals.

(t) Leases

Upon the adoption of ASC Topic 842, Leases (“ASC 842”) as of January 1, 2019, the Company determines at contract inception if an arrangement is a lease, or contains a lease, of an identified asset for which the Company has the right to obtain substantially all of the economic benefits from its use and the right to direct its use. Right-of-use (“ROU”) assets represent the Company’s right to use an underlying asset for the lease term, while lease liabilities represent the Company’s obligation to make lease payments arising from the lease. Operating lease ROU assets and liabilities are recognized at lease commencement date based on the present value of lease payments over the lease term. The implicit discount rate in the Company’s leases generally cannot readily be determined, and therefore the Company uses its incremental borrowing rate based on information available at lease commencement date in determining the present value of future payments. The Company has options to renew or terminate certain leases. These options are included in the determination of lease term when it is reasonably certain that the Company will exercise such options. The Company does not separate lease and non-lease components in determining ROU assets or lease liabilities for real estate leases. Additionally, the Company does not recognize ROU assets or lease liabilities for leases with original terms or renewals of one year or less.

(u) Recently Adopted Accounting Standards

The Company adopted ASC Topic 606, Revenue from Contracts with Customers (“ASC 606”), as of January 1, 2018, using the full retrospective method. All amounts and disclosures set forth in this Form 10-K reflect these changes. The most significant financial statement impacts of adopting ASC 606 are the elimination of the constraint on revenue associated with the billing retention related to the receipt of customer final acceptance and the identification of installation services as a performance obligation. The elimination of the constraint on revenue related to customer final acceptance, which is usually about 10 percent of a system sale, is now generally recognized at the time the Company transfers control of the system to the customer, which is earlier than under the Company’s previous revenue recognition model for certain contracts that were subject to the billing constraint. The performance obligation related to installation services is now recognized as the installation services are performed, which is later than the Company’s previous revenue recognition model. 

The Company adopted ASU 2016-01, Financial Instruments – Overall, as of January 1, 2018. This ASU requires certain equity investments to be measured at fair value, with changes in fair value recognized in net income. The Company measures equity investments without readily observable market prices at cost, adjusted for changes in observable prices minus impairment. Changes in measurement are included in “Other income (expense), net” in the Consolidated Statements of Operations. This ASU has not had a material impact on the consolidated financial statements upon adoption, and the Company will monitor its equity investments each reporting period for changes in observable market prices, if any, which may be material in future periods.

The Company adopted ASC Topic 842, Leases (“ASC 842”), as of January 1, 2019. ASC 842 generally requires operating lessee rights and obligations to be recognized as assets and liabilities on the balance sheet. The new standard offers a transition option whereby companies can recognize a cumulative-effect adjustment to the opening balance of retained earnings in the period of adoption rather than in the earliest period presented. The Company has adopted using this transition method, and therefore prior period balances have not been adjusted. In addition, ASC 842 provides for a number of optional exemptions in transition. The Company has elected certain exemptions whereby prior conclusions regarding lease identification, lease classification, and initial direct costs were not reassessed under the new standard. The adoption of the standard impacted the Company’s Consolidated Balance Sheets through the recognition of ROU assets and lease liabilities of approximately $14.2 million each as of January 1, 2019 but did not have an impact on the Consolidated Statements of Operations, Statements of Comprehensive Income, or Statements of Cash Flows.

F-15

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

(v) Recent Accounting Pronouncements Not Yet Adopted

The Company is evaluating pronouncements recently issued but not yet adopted. The adoption of these pronouncements is not expected to have a material impact on our consolidated financial statements.

Note 2 — Income (Loss) Per Share

Basic income (loss) per share is calculated by dividing net income (loss) by the weighted average number of shares outstanding during the period. Diluted income per share is calculated by dividing net income by the weighted average number of shares used to calculate basic income per share plus the weighted average number of common share equivalents outstanding during the period. The dilutive effect of outstanding options to purchase common stock and non-participating share-based awards is considered in diluted income per share by application of the treasury stock method. The dilutive effect of performance share units is included in diluted income per common share in the periods the performance targets have been achieved.

The computations of basic and diluted income (loss) per share for the years ended December 31, 2019, 2018, and 2017 are as follows:

For the year ended December 31,

    

2019

    

2018

    

2017

(in thousands, except per share amounts)

Net income (loss)

$

(78,733)

$

(407,088)

$

(51,396)

Net income (loss) per common share:

Basic

$

(1.66)

$

(8.63)

$

(1.16)

Diluted

$

(1.66)

$

(8.63)

$

(1.16)

Basic weighted average shares outstanding

 

47,482

 

47,151

 

44,174

Effect of potentially dilutive share-based awards

 

 

 

Diluted weighted average shares outstanding

 

47,482

 

47,151

 

44,174

Common share equivalents excluded from the diluted weighted average shares outstanding since the Company incurred a net loss and their effect would be antidilutive

531

28

239

Potentially dilutive shares excluded from the diluted calculation as their effect would be antidilutive

1,689

2,474

1,744

Maximum potential shares to be issued for settlement of the Convertible Senior Notes excluded from the diluted calculation as their effect would be antidilutive

8,618

8,618

8,618

Note 3 — Fair Value Measurements

Fair value is the price that would be received for an asset or the amount paid to transfer a liability in an orderly transaction between market participants. The Company is required to classify certain assets and liabilities based on the following fair value hierarchy:

Level 1: Quoted prices in active markets that are unadjusted and accessible at the measurement date for identical, unrestricted assets or liabilities;

Level 2: Quoted prices for identical assets and liabilities in markets that are not active, quoted prices for similar assets and liabilities in active markets or financial instruments for which significant inputs are observable, either directly or indirectly; and

F-16

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Level 3: Prices or valuations that require inputs that are both significant to the fair value measurement and unobservable.

A financial instrument’s level within the fair value hierarchy is based on the lowest level of any input that is significant to the fair value measurement. The Company has evaluated the estimated fair value of financial instruments using available market information and valuations as provided by third-party sources. The use of different market assumptions or estimation methodologies could have a significant effect on the estimated fair value amounts.

The following table presents the Company’s assets that were measured at fair value on a recurring basis at December 31, 2019 and 2018:

    

Level 1

    

Level 2

    

Level 3

    

Total

(in thousands)

December 31, 2019

Cash equivalents

Certificate of deposits and time deposits

$

67,009

$

$

$

67,009

Commercial paper

10,484

10,484

Corporate debt

1,000

1,000

Total

$

67,009

$

11,484

$

$

78,493

Short-term investments

U.S. treasuries

$

105,130

$

$

$

105,130

Government agency securities

1,139

1,139

Corporate debt

6,002

6,002

Commercial paper

2,981

2,981

Total

$

105,130

$

10,122

$

$

115,252

December 31, 2018

Cash equivalents

Certificate of deposits and time deposits

$

65,571

$

$

$

65,571

U.S. treasuries

3,990

3,990

Total

$

69,561

$

$

$

69,561

Short-term investments

U.S. treasuries

$

37,184

$

$

$

37,184

Corporate debt

8,516

8,516

Commercial paper

2,489

2,489

Total

$

37,184

$

11,005

$

$

48,189

The Company’s investments classified as Level 1 are based on quoted prices that are available in active markets. The Company’s investments classified as Level 2 are valued using observable inputs to quoted market prices, benchmark yields, reported trades, broker/dealer quotes, or alternative pricing sources with reasonable levels of price transparency.

F-17

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Note 4 — Investments

At December 31, 2019 and 2018 the amortized cost and fair value of marketable securities, which are included in “Short-term investments” on the Consolidated Balance Sheets, were as follows:

    

    

Gross

    

Gross

    

Amortized

Unrealized

Unrealized

Estimated

Cost

Gains

Losses

Fair Value

(in thousands)

December 31, 2019

U.S. treasuries

$

105,096

$

38

$

(4)

$

105,130

Government agency securities

1,139

1,139

Corporate debt

6,003

(1)

6,002

Commercial paper

2,981

2,981

Total

$

115,219

$

38

$

(5)

$

115,252

December 31, 2018

U.S. treasuries

$

37,191

$

$

(7)

$

37,184

Corporate debt

 

8,525

 

 

(9)

 

8,516

Commercial paper

2,489

2,489

Total

$

48,205

$

$

(16)

$

48,189

Available-for-sale securities in a loss position at December 31, 2019 and 2018 were as follows:

December 31, 2019

December 31, 2018

    

    

Gross

    

    

Gross

Estimated

Unrealized

Estimated

Unrealized

Fair Value

Losses

Fair Value

Losses

(in thousands)

U.S. treasuries

$

22,943

$

(4)

$

37,184

$

(7)

Corporate debt

 

6,002

 

(1)

 

8,516

 

(9)

Total

$

28,945

$

(5)

$

45,700

$

(16)

At December 31, 2019 and 2018, there were no short-term investments that had been in a continuous loss position for more than 12 months.

The maturities of securities classified as available-for-sale at December 31, 2019 were all due in one year or less. Actual maturities may differ from contractual maturities because borrowers may have the right to call or prepay obligations with or without call or prepayment penalties. The realized gains or losses for the years ended December 31, 2019, 2018, and 2017 were immaterial.

Other Investments

Veeco has an ownership interest of less than 20% in a non-marketable investment, Kateeva, Inc. (“Kateeva”), over which Veeco does not exert significant influence. The carrying value of the investment was $21.0 million at December 31, 2018. Additionally, during the year ended December 31, 2018, the Company made a separate non-marketable investment of $3.5 million in another entity. The Company does not exert significant influence over this investment and its ownership interest is also less than 20%. Neither equity investment has a readily observable market price, and therefore the Company has elected to measure these investments at cost, adjusted for changes in observable market prices minus impairment. The investments are included in “Other assets” on the Consolidated Balance Sheets. There were no changes in observable market prices for either investment for the year ended December 31, 2019. These investments are subject to periodic impairment reviews which require judgment. The analyses include assessments of the

F-18

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

companies’ financial condition, the business outlooks for their products and technologies, their projected results and cash flows, business valuation indications from recent rounds of financing, the likelihood of obtaining subsequent rounds of financing, and the impact of equity preferences held by Veeco relative to other investors. During the quarter ended December 31, 2019, the Company identified impairment indicators on the Company’s investment in Kateeva, and as a result of a valuation analysis, concluded that its investment in Kateeva is fully impaired, and recorded a non-cash impairment charge of $21.0 million, included in “Other income (expense), net” in the Consolidated Statements of Operations. There were no impairment charges recorded for either investment for the years ended December 31, 2018 or 2017.

Note 5 — Acquisitions and Dispositions

Ultratech acquisition

On May 26, 2017, the Company completed its acquisition of Ultratech, Inc. (“Ultratech”). Ultratech develops, manufactures, sells, and supports lithography, laser annealing, and inspection equipment for manufacturers of semiconductor devices, including front-end semiconductor manufacturing and advanced packaging. Ultratech also develops, manufactures, sells, and supports ALD equipment for scientific and industrial applications. Ultratech’s customers are primarily located throughout the United States, Europe, China, Japan, Taiwan, Singapore, and Korea. The results of Ultratech’s operations have been included in the consolidated financial statements since the date of acquisition.

Ultratech shareholders received (i) $21.75 per share in cash and (ii) 0.2675 of a share of Veeco common stock for each Ultratech common share outstanding on the acquisition date. The acquisition date fair value of the consideration totaled $633.4 million, net of cash acquired, which consisted of the following:

    

Acquisition Date

(May 26, 2017)

(in thousands)

Cash consideration, net of cash acquired of $229.4 million

$

404,490

Equity consideration (7.2 million shares issued)

 

228,643

Replacement equity awards attributable to pre-acquisition service

228

Acquisition date fair value

$

633,361

F-19

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

The following table summarizes the estimated fair values of the assets acquired and liabilities assumed at the acquisition date:

    

Acquisition Date

(May 26, 2017)

(in thousands)

Short-term investments

$

47,161

Accounts receivable

45,465

Inventories

 

59,100

Deferred cost of sales

242

Prepaid expense and other current assets

 

7,217

Property, plant, and equipment

 

18,152

Intangible assets

 

346,940

Other assets

6,442

Total identifiable assets acquired

 

530,719

Accounts payable

24,291

Accrued expenses and other current liabilities

16,356

Customer deposits and deferred revenue

4,834

Deferred income taxes

32,478

Other liabilities

11,622

Total liabilities assumed

 

89,581

Net identifiable assets acquired

 

441,138

Goodwill

 

192,223

Net assets acquired

$

633,361

The gross contractual value of the acquired accounts receivable was approximately $46.0 million. The fair value of the accounts receivables is the amount expected to be collected by the Company. Goodwill generated from the acquisition is primarily attributable to expected synergies from future growth and strategic advantages provided through the expansion of product offerings as well as assembled workforce and is not expected to be deductible for income tax purposes.

The classes of intangible assets acquired and the estimated useful life of each class is presented in the table below:

Acquisition Date

(May 26, 2017)

    

Amount

    

Useful life

(in thousands)

Technology

$

158,390

 

9

years

Customer relationships

 

116,710

 

12

years

Backlog

3,080

6

months

In-process research and development

 

43,340

 

*

Trademark and tradenames

25,420

7

years

Intangible assets acquired

$

346,940

*

In-process research and development will be amortized (or impaired) upon completion (or abandonment) of the development project.

The Company determined the estimated fair value of the identifiable intangible assets based on various factors including: cost, discounted cash flow, income method, loss-of-revenue/income method, and relief-from-royalty method in determining the purchase price allocation.

F-20

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

In-process research and development (“IPR&D”) represents the estimated fair values of incomplete Ultratech research and development projects that had not reached the commercialization stage and met the criteria for recognition as IPR&D as of the date of the acquisition. The fair value of IPR&D was determined using an income approach and costs to complete the project and expected commercialization timelines are considered key assumptions. This valuation approach reflected the present value of the projected cash flows that were expected to be generated by the IPR&D less charges representing the contribution of other assets to those cash flows. The value of the IPR&D was determined to be $43.3 million, approximately half of which was related to Ultratech’s lithography technologies and one-third of which was related to Ultratech’s laser annealing technologies.

During the second quarter of 2018, the Company lowered its projected results for the Ultratech asset group and determined that the revised projections were significantly lower than projected results at the time of the acquisition and that these revised projections required the Company to assess the Ultratech asset group for impairment. See Note 6, “Goodwill and Intangible Assets,” for additional information.

For the year ended December 31, 2018 and 2017, acquisition related costs were approximately $3.0 million and $17.8 million, respectively, including non-cash charges of $4.2 million related to accelerated share-based compensation for employee terminations for the year ended December 31, 2017.

The amounts of net sales and income (loss) from operations before income taxes of Ultratech included in the Company’s Consolidated Statement of Operations for the year ended December 31, 2017 are as follows:

    

Year ended

December 31, 2017

(in thousands)

Net sales

$

65,280

Loss before income taxes

$

(62,284)

Loss before income taxes of Ultratech for the year ended December 31, 2017 of $62.3 million includes acquisition costs of $17.8 million, release of inventory fair value step-up related to purchase accounting of $9.6 million, amortization expense on intangible assets of $23.9 million, and restructuring charges of $3.3 million.

The following table presents unaudited pro forma financial information for the year ended December 31, 2017, as if the acquisition of Ultratech had occurred on January 1, 2016:

Year ended December 31, 2017

(in thousands, except per share amounts)

Net sales

$

546,428

Loss before income taxes

(90,000)

Diluted earnings per share

$

(1.38)

The pro-forma results were calculated by combining the audited results of the Company with the stand-alone unaudited results of Ultratech for the pre-acquisition period, and adjusting for the following:

(i)Additional amortization expense related to identified intangible assets valued as part of the purchase price allocation that would have been incurred starting on January 1, 2016.

(ii)Additional depreciation expense for the property, plant, and equipment fair value adjustments that would have been incurred starting on January 1, 2016.

(iii)All acquisition related costs incurred by the Company as well as by Ultratech pre-acquisition have been removed from the year ended December 31, 2017 and included in the year ended December 31, 2016, as such expenses would have been incurred in the first quarter following the acquisition.

F-21

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

(iv)All amortization of inventory step-up has been removed from the year ended December 31, 2017 and recorded in the year ended December 31, 2016, as such costs would have been incurred as the corresponding inventory was sold.

(v)Additional interest expense related to the Convertible Senior Notes (see Note 12, “Debt”) as if they had been issued on January 1, 2016.

(vi)Income tax expense (benefit) was adjusted for the impact of the above adjustments for each period.

(vii)All shares issued in connection with the acquisition were considered outstanding as of January 1, 2016 for purposes of calculating diluted earnings per share.

Dispositions

As of December 31, 2019, the Company determined that one of its non-core product lines (the “disposal group”) met the held for sale criteria, and as such, the related assets are presented as “Assets held for sale” on the Consolidated Balance Sheets. Long-lived assets and definite-lived intangible assets are not depreciated or amortized while classified as held for sale. The potential sale of this disposal group does not represent a strategic shift that will have a material effect on the Company’s operations and financial results, nor is it considered a component of the Company, and as such it did not meet the criteria to be reported as discontinued operations.

For the year ended December 31, 2019, the Company recorded a non-cash impairment charge on these assets held for sale of $4.0 million, included in “Asset impairment” in the Consolidated Statements of Operations, in order to measure the disposal group at the lower of its carrying value or fair value less costs to sell as of December 31, 2019, which resulted in a corresponding held for sale valuation allowance on its assets held for sale in the Consolidated Balance Sheet. The major classes of assets that were classified as held for sale as of December 31, 2019 are as follows:

December 31, 2019

(in thousands)

Assets held for sale:

 

Inventories

$

5,985

Property, plant, and equipment, net

310

Intangible assets, net

6,546

Goodwill

2,359

Impairment

(4,020)

Total Assets held for sale

$

11,180

F-22

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Note 6 — Goodwill and Intangible Assets

Goodwill represents the future economic benefits arising from assets acquired in a business combination that are not individually identified and separately recognized. The following table presents the changes in goodwill balances during the years indicated:

    

Gross carrying

    

Accumulated

    

amount

impairment

Net amount

    

(in thousands)

Balance at December 31, 2017

$

430,331

$

123,200

$

307,131

Impairment

122,829

(122,829)

Balance at December 31, 2018

430,331

246,029

184,302

Allocated to Assets held for sale

2,359

(2,359)

Balance at December 31, 2019

$

430,331

$

248,388

$

181,943

The Company performs its annual goodwill impairment test at the beginning of the fourth quarter each year. As the Company maintains a single goodwill reporting unit, it determines the fair value of its reporting unit based upon the Company’s adjusted market capitalization. The annual test performed at the beginning of the fourth quarter of fiscal 2018 and 2019 did not result in any potential impairment as the fair value of the reporting unit was determined to exceed the carrying amount of the reporting unit.

As a result of a significant decline in the Company’s stock price during the fourth quarter of 2018, the Company concluded it was appropriate to perform an interim goodwill impairment test as of the end of fiscal 2018. The fair value of its reporting unit, as calculated using the adjusted market capitalization approach, was determined to be below the carrying value of the reporting unit, and the Company recorded an impairment charge equal to the excess of carrying value over fair value, or $122.8 million, for the year ended December 31, 2018. The impairment charge is included in “Asset impairment” in the Consolidated Statements of Operations. The valuation of goodwill will continue to be subject to changes in the Company’s market capitalization and observable market control premiums. This analysis is sensitive to changes in the Company’s stock price and absent other qualitative factors, the Company may be required to record additional goodwill impairment charges in future periods if the stock price declines and remains depressed for an extended period of time. 

The components of purchased intangible assets were as follows:

December 31, 2019

December 31, 2018

Average

Accumulated

Accumulated

    

Remaining

    

Gross

    

Amortization

    

    

Gross

    

Amortization

    

Amortization

Carrying

and

Net

Carrying

and

Net

Period

Amount

Impairment

Amount

Amount

Impairment

Amount

(in years)

(in thousands)

Technology

5.0

$

327,908

$

291,766

$

36,142

$

337,218

$

290,808

$

46,410

Customer relationships

9.2

146,465

126,764

19,701

164,595

136,126

28,469

In-process R&D

13,710

10,530

3,180

Trademarks and tradenames

4.4

30,910

25,256

5,654

30,910

23,899

7,011

Other

1.1

 

3,686

 

3,665

 

21

 

3,686

 

3,607

 

79

Total

6.3

$

508,969

$

447,451

$

61,518

$

550,119

$

464,970

$

85,149

Other intangible assets primarily consist of patents, licenses, and backlog.

During the second quarter of 2018, the Company lowered its projected results for the Ultratech asset group, which were significantly below the projected results at the time of the acquisition. The reduced projections were based on lower than expected unit volume of certain smartphones, which incorporate advanced packaging methods such as fan-out wafer

F-23

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

level packaging (“FOWLP”), and a delay in the adoption of FOWLP advanced packaging by other electronics manufacturers, both of which slowed orders and reduced revenue projections for the Company’s advanced packaging lithography systems. In addition, there has been a delay in the build out of 28nm facilities by companies in China who were expected to purchase the Company’s Laser Spike Anneal systems. Taken together, the reduced projections identified during the second quarter of 2018 required the Company to assess the Ultratech asset group for impairment. As a result of the analysis, which included projected cash flows that required the use of unobservable inputs, the Company recorded non-cash impairment charges of $216.4 million and $35.9 million related to definite-lived intangible assets and in-process research and development assets, respectively, during the second quarter of 2018. The impairment charge is included in “Asset impairment” in the Consolidated Statement of Operations. Subsequently, certain in-process research and development projects were completed and moved to the “Technology” line in the above table.

Based on the intangible assets recorded at December 31, 2019, and assuming no subsequent additions to or impairment of the underlying assets, the remaining estimated annual amortization expense, is expected to be as follows:

Amortization

    

(in thousands)

2020

$

15,333

2021

 

12,280

2022

 

10,018

2023

 

8,347

2024

 

6,708

Thereafter

8,832

Total

$

61,518

Note 7 — Inventories

Inventories are stated at the lower of cost or net realizable value, with cost determined on a first-in, first-out basis. Inventories consist of the following:

December 31,

December 31,

    

2019

    

2018

(in thousands)

Materials

$

82,155

$

90,816

Work-in-process

 

42,575

 

42,354

Finished goods

 

8,337

 

23,141

Total

$

133,067

$

156,311

F-24

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Note 8 — Property, Plant, and Equipment

Property and equipment, net, consist of the following:

December 31,

December 31,

    

2019

    

2018

    

Average Useful Life

(in thousands)

Land

$

5,061

$

5,669

N/A

Building and improvements

 

61,884

 

61,124

1040 years

Machinery and equipment (1)

 

137,692

 

128,385

310 years

Leasehold improvements

 

6,703

 

9,033

37 years

Gross property, plant, and equipment

 

211,340

 

204,211

Less: accumulated depreciation and amortization

 

135,629

 

123,927

Net property, plant, and equipment

$

75,711

$

80,284

(1)Machinery and equipment also includes software, furniture, and fixtures

Depreciation expense was $17.3 million, $17.6 million, and $14.6 million for the years ended December 31, 2019, 2018, and 2017, respectively. During the year ended December 31, 2019, the Company classified vacant land in St. Paul, Minnesota as held for sale, and subsequently sold the land for approximately $0.6 million, which approximated its carrying value.

Note 9 — Accrued Expenses and Other Liabilities

The components of accrued expenses and other current liabilities were as follows:

December 31,

December 31,

    

2019

    

2018

(in thousands)

Payroll and related benefits

$

15,174

$

20,486

Warranty

7,067

7,852

Operating lease liabilities

4,196

Interest

4,321

4,321

Professional fees

2,443

2,897

Sales, use, and other taxes

 

811

 

2,670

Restructuring liability

 

2,841

 

2,213

Other

 

4,390

 

6,011

Total

$

41,243

$

46,450

Customer deposits and deferred revenue

Customer deposits totaled $26.6 million and $28.3 million at December 31, 2019 and 2018, respectively, which are included in “Customer deposits and deferred revenue” in the Consolidated Balance Sheets. Deferred revenue represents amounts billed, other than deposits, in excess of the revenue that can be recognized on a particular contract at the balance sheet date. Changes in deferred revenue were as follows:

(in thousands)

Balance - December 31, 2018

 

$

44,415

Deferral of revenue

 

5,816

Recognition of previously deferred revenue

 

(21,982)

Balance - December 31, 2019

 

$

28,249

F-25

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

As of December 31, 2019, the Company has approximately $38.9 million of remaining performance obligations on contracts with an original estimated duration of one year or more, of which approximately 87% is expected to be recognized within one year, with the remaining amounts expected to be recognized between one to three years. The Company has elected to exclude disclosures regarding remaining performance obligations that have an original expected duration of one year or less.

Other liabilities

As part of the acquisition of Ultratech, the Company assumed an executive non-qualified deferred compensation plan that allowed qualifying executives to defer cash compensation. The plan was frozen at the time of acquisition and no further contributions have been made. At December 31, 2019 and 2018, plan assets approximated $2.7 million and $3.2 million, respectively, representing the cash surrender value of life insurance policies and is included within “Other assets” in the Consolidated Balance Sheets, while plan liabilities approximated $3.1 million and $3.5 million, respectively and is included within “Other liabilities” in the Consolidated Balance Sheets. Other liabilities also included asset retirement obligations of $3.2 million and income tax payables of $1.0 million at both December 31, 2019 and 2018, and medical and dental benefits for former executives of $2.0 million and $2.2 million at December 31, 2019 and 2018, respectively.

Note 10 — Restructuring Charges

During the second quarter of 2018, the Company initiated plans to reduce excess capacity associated with the manufacture and support of the Company’s advanced packaging lithography and 3D wafer inspection systems by consolidating these operations into its San Jose, California facility. As a result of this and other cost saving initiatives, the Company announced headcount reductions of approximately 40 employees. During the year ended December 31, 2019, additional accruals were recognized and payments were made related to these restructuring initiatives.

The Company continued to record restructuring charges during the year ended December 31, 2019 as a result of its efforts to further streamline operations, enhance efficiencies, and reduce costs. In the second half of 2019, the Company executed an initiative to reorganize various functions along product lines and created a central research and development organization to better allocate its resources to the Company’s highest priority projects. In addition, the Company delayered the organization. Collectively, these actions impacted approximately 60 employees.

The following table shows the amounts incurred and paid for restructuring activities during the years ended December 31, 2019, 2018, and 2017 and the remaining accrued balance of restructuring costs at December 31, 2019, which is included in “Accrued expenses and other current liabilities” in the Consolidated Balance Sheets:

    

Personnel

    

Facility

    

Severance and

Related Costs

Related Costs

and Other

Total

(in thousands)

Balance - December 31, 2016

$

1,796

$

$

1,796

Provision

 

4,714

 

5,257

 

9,971

Payments

 

(4,990)

 

(5,257)

 

(10,247)

Balance - December 31, 2017

 

1,520

1,520

Provision

 

4,681

2,714

7,395

Payments

 

(4,058)

(2,644)

(6,702)

Balance - December 31, 2018

2,143

70

2,213

Provision

5,803

203

6,006

Payments

(5,105)

(273)

(5,378)

Balance - December 31, 2019

$

2,841

$

$

2,841

F-26

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Restructuring expense for the years ended December 31, 2019, 2018, and 2017 included non-cash charges of $0.4 million, $1.2 million, and $1.9 million, respectively, which are excluded from the table above, related to accelerated share-based compensation for employee terminations.

Note 11 — Commitments and Contingencies

Warranty

Changes in the Company’s product warranty reserves were as follows:

December 31,

    

2019

    

2018

    

2017

(in thousands)

Balance, beginning of the year

$

7,852

$

6,532

$

4,217

Warranties issued

 

5,865

 

6,737

 

5,817

Addition from Ultratech acquisition

1,889

Consumption of reserves

 

(6,242)

 

(6,573)

 

(6,330)

Changes in estimate

 

(408)

 

1,156

 

939

Balance, end of the year

$

7,067

$

7,852

$

6,532

Minimum Lease Commitments

The Company’s operating leases primarily include real estate leases for properties used for manufacturing, R&D activities, sales and service, and administration, as well as certain equipment leases. Some leases may include options to renew for a period of up to 5 years, while others may include options to terminate the lease. The weighted average remaining lease term of the Company’s operating leases as of December 31, 2019 was 3 years, and the weighted average discount rate used in determining the present value of future lease payments was 6.0%.

The following table provides the maturities of lease liabilities at December 31, 2019:

Operating

    

Leases

(in thousands)

Payments due by period:

2020

$

4,932

2021

5,020

2022

4,428

2023

1,133

2024

551

Thereafter

Total future minimum lease payments

16,064

Less: Imputed interest

(1,568)

Total

$

14,496

Reported as of December 31, 2019

Other current liabilities

$

4,196

Operating lease liabilities

10,300

Total

$

14,496

F-27

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Minimum lease commitments at December 31, 2018 for property and equipment under operating lease agreements (exclusive of renewal options) were as follows:

Operating

    

Leases

(in thousands)

Payments due by period:

2019

$

5,143

2020

 

5,056

2021

 

2,432

2022

 

1,812

2023

 

1,066

Thereafter

548

Total

$

16,057

Operating lease cost for the year ended December 31, 2019 was $5.5 million. Variable lease cost for the year ended December 31, 2019 was $1.7 million. Additionally, the Company has an immaterial amount of short-term leases. Lease expense was $7.2 million, $6.3 million, and $5.3 million for the years ended December 31, 2019, 2018, and 2017, respectively. In addition, the Company is obligated under such leases for certain other expenses, including real estate taxes and insurance.

Legal Proceedings

On June 8, 2018, an Ultratech shareholder who received Veeco stock as part of the consideration for the Ultratech acquisition filed a purported class action complaint in the Superior Court of the State of California, County of Santa Clara, captioned Wolther v. Maheshwari et al., Case No. 18CV329690, on behalf of himself and others who purchased or acquired shares of Veeco pursuant to the registration statement and prospectus which Veeco filed with the SEC in connection with the Ultratech acquisition (the “Wolther Action”). On August 2 and August 8, 2018, two purported class action complaints substantially similar to the Wolther Action were filed on behalf of different plaintiffs in the same court as the Wolther Action. These cases have been consolidated with the Wolther Action, and a consolidated complaint was filed on December 11, 2018. The consolidated complaint seeks to recover damages and fees under Sections 11, 12, and 15 of the Securities Act of 1933 for, among other things, alleged false/misleading statements in the registration statement and prospectus relating to the Ultratech acquisition, relating primarily to the alleged failure to disclose delays in the advanced packaging business, increased MOCVD competition in China, and an intellectual property dispute. Veeco is defending this matter vigorously.

On December 21, 2018, a purported Veeco stockholder filed a derivative action in the Superior Court of the State of California, County of Santa Clara, captioned Vladimir Gusinsky Revocable Trust v. Peeler, et al., Case No. 18CV339925, on behalf of nominal defendant Veeco. The complaint seeks to assert claims for breach of fiduciary duty, waste of corporate assets, and unjust enrichment against current and former Veeco directors premised on purported misstatements and omissions in the registration statement relating to the Ultratech acquisition. Veeco is defending this matter vigorously.

The Company is involved in various other legal proceedings arising in the normal course of business. The Company does not believe that the ultimate resolution of these matters will have a material adverse effect on its consolidated financial position, results of operations, or cash flows.

Concentrations of Credit Risk

The Company depends on purchases from its ten largest customers, which accounted for 67% and 61% of net accounts receivable at December 31, 2019 and 2018, respectively.

F-28

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Customers who accounted for more than 10% of net accounts receivable or net sales are as follows:

Accounts Receivable

Net Sales 

 

December 31,

For the Year Ended December 31,

 

Customer

    

2019

    

2018

    

2019

    

2018

    

2017

 

Customer A

16

%  

22

%  

11

%  

*

*

Customer B

21

%  

*

*

*

*

Customer C

*

*

*

12

%  

*

Customer D

*

*

*

*

21

%

*

Less than 10% of aggregate accounts receivable or net sales

The Company manufactures and sells its products to companies in different geographic locations. Refer to Note 18, “Segment Reporting and Geographic Information,” for additional information. In certain instances, the Company requires deposits from its customers for a portion of the sales price in advance of shipment and performs periodic credit evaluations on its customers. Where appropriate, the Company requires letters of credit on certain non-U.S. sales arrangements. Receivables generally are due within 30 to 90 days from the date of invoice. In some geographies, receivables may be payable up to 150 days from the date of the invoice.

Suppliers

The Company outsources certain functions to third parties, including the manufacture of several of its systems. While the Company relies on its outsourcing partners to perform their contracted functions, the Company maintains some level of internal manufacturing capability for these systems. In addition, certain of the components and sub-assemblies included in the Company’s products are obtained from a single source or a limited group of suppliers. The failure of the Company’s present outsourcing partners and suppliers to meet their contractual obligations and the Company’s inability to make alternative arrangements or resume the manufacture of these systems could have a material adverse effect on the Company’s revenues, profitability, cash flows, and relationships with its customers.

The Company had deposits with its suppliers of $5.9 million and $12.8 million at December 31, 2019 and 2018, respectively, that were included in “Prepaid expenses and other current assets” on the Consolidated Balance Sheets.

Purchase Commitments

The Company had purchase commitments of $63.3 million at December 31, 2019, substantially all of which will come due within one year. Purchase commitments are primarily for inventory used in manufacturing products and are partially offset by existing deposits with suppliers.

Bank Guarantees

The Company has bank guarantees and letters of credit issued by a financial institution on its behalf as needed. At December 31, 2019, outstanding bank guarantees and letters of credit totaled $10.2 million and unused bank guarantees and letters of credit of $21.6 million were available to be drawn upon.

Note 12 — Debt

Convertible Senior Notes

On January 10, 2017, the Company issued $345.0 million of 2.70% convertible senior unsecured notes (the “Convertible Senior Notes”). The Company received net proceeds, after deducting underwriting discounts and fees and expenses payable by the Company, of approximately $335.8 million. The Convertible Senior Notes bear interest at a rate of 2.70% per year, payable semiannually in arrears on January 15 and July 15 of each year, commencing on July 15, 2017. The

F-29

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Convertible Senior Notes mature on January 15, 2023 (the “Maturity Date”), unless earlier purchased by the Company, redeemed, or converted.

The Convertible Senior Notes are unsecured obligations of Veeco and rank senior in right of payment to any of Veeco’s subordinated indebtedness; equal in right of payment to all of Veeco’s unsecured indebtedness that is not subordinated; effectively subordinated in right of payment to any of Veeco’s secured indebtedness to the extent of the value of the assets securing such indebtedness; and structurally subordinated to all indebtedness and other liabilities (including trade payables) of Veeco’s subsidiaries.

The Convertible Senior Notes are convertible into cash, shares of the Company’s common stock, or a combination thereof, at the Company’s election, upon the satisfaction of specified conditions and during certain periods as described below. The initial conversion rate is 24.9800 shares of the Company’s common stock per $1,000 principal amount of Convertible Senior Notes, representing an initial effective conversion price of $40.03 per share of common stock. The conversion rate may be subject to adjustment upon the occurrence of certain specified events as provided in the indenture governing the Convertible Senior Notes, dated January 18, 2017 between the Company and U.S. Bank National Association, as trustee, but will not be adjusted for accrued but unpaid interest.

Holders may convert all or any portion of their notes, in multiples of one thousand dollar principal amount, at their option at any time prior to the close of business on the business day immediately preceding October 15, 2022 only under the following circumstances:

(i)During any calendar quarter (and only during such calendar quarter), if the last reported sale price of the common stock for at least 20 trading days (whether or not consecutive) during a period of 30 consecutive trading days ending on the last trading day of the immediately preceding calendar quarter is greater than or equal to 130% of the conversion price on each applicable trading day;

(ii)During the five consecutive business day period after any five consecutive trading day period (the “measurement period”) in which the trading price per one thousand dollar principal amount of Convertible Senior Notes for each trading day of the measurement period was less than 98% of the product of the last reported sale price of Veeco’s common stock and the conversion rate on each such trading day;

(iii)If the Company calls any or all of the Convertible Senior Notes for redemption at any time prior to the close of business on the scheduled trading day immediately preceding the redemption date; or

(iv)Upon the occurrence of specified corporate events.

On or after October 15, 2022, until the close of business on the business day immediately preceding the Maturity Date, holders may convert their notes at any time, regardless of the foregoing circumstances.

Upon conversion by the holders, the Company may elect to settle such conversion in shares of its common stock, cash, or a combination thereof. As a result of its cash conversion option, the Company segregated the liability component of the instrument from the equity component. The liability component was measured by estimating the fair value of a non-convertible debt instrument that is similar in its terms to the Convertible Senior Notes. The calculation of the fair value of the debt component required the use of Level 3 inputs, including utilization of convertible investors’ credit assumptions and high yield bond indices. Fair value was estimated through discounting future interest and principal payments, an income approach, due under the Convertible Senior Notes at a discount rate of 7.00%, an interest rate equal to the estimated borrowing rate for similar non-convertible debt. The excess of the aggregate face value of the Convertible Senior Notes over the estimated fair value of the liability component of $72.5 million was recognized as a debt discount and recorded as an increase to additional paid-in capital and will be amortized over the expected life of the

F-30

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Convertible Senior Notes using the effective interest rate method. Amortization of the debt discount is recognized as non-cash interest expense.

The transaction costs of $9.2 million incurred in connection with the issuance of the Convertible Senior Notes were allocated to the liability and equity components based on their relative values. Transaction costs allocated to the liability component are being amortized using the effective interest rate method and recognized as non-cash interest expense over the expected term of the Convertible Senior Notes. Transaction costs allocated to the equity component of $1.9 million reduced the value of the equity component recognized in stockholders' equity.

The carrying value of the Convertible Senior Notes is as follows:

December 31,

December 31,

    

2019

    

2018

 

(in thousands)

Principal amount

$

345,000

$

345,000

Unamortized debt discount

 

(40,820)

 

(52,336)

Unamortized transaction costs

 

(4,112)

 

(5,272)

Net carrying value

$

300,068

$

287,392

Total interest expense related to the Convertible Senior Notes is as follows:

For the year ended December 31,

2019

2018

(in thousands)

Cash Interest Expense

  

  

Coupon interest expense

$

9,315

$

9,315

Non-Cash Interest Expense

 

 

  

Amortization of debt discount

 

11,516

 

10,686

Amortization of transaction costs

 

1,160

 

1,076

Total Interest Expense

$

21,991

$

21,077

The Company determined the Convertible Senior Notes is a Level 2 liability in the fair value hierarchy and estimated its fair value as $330.3 million at December 31, 2019.

Note 13 — Derivative Financial Instruments

The Company is exposed to financial market risks arising from changes in currency exchange rates. Changes in currency exchange rates could affect the Company’s foreign currency denominated monetary assets and liabilities and forecasted cash flows. The Company entered into monthly forward derivative contracts with the intent of mitigating a portion of this risk. The Company only used derivative financial instruments in the context of hedging and not for speculative purposes and had not designated its foreign exchange derivatives as hedges. Accordingly, changes in fair value from these contracts were recorded as “Other operating expense (income), net” in the Company’s Consolidated Statements of Operations. The Company executed derivative transactions with highly rated financial institutions to mitigate counterparty risk.

The Company did not have any outstanding derivative contracts at December 31, 2019 and 2018. The following table shows the gains and (losses) from currency exchange derivatives during the years ended December 31, 2018 and 2017, which are included in “Other operating expense (income), net” in the Consolidated Statements of Operations as well as the weighted average notional amount of derivatives outstanding for each period:

F-31

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Year ended December 31,

2018

2017

Gains (losses)

Weighted average notional amount

Gains (losses)

Weighted average notional amount

(in thousands)

Foreign currency exchange forwards

$

327

$

2,869

$

(6)

$

314

Note 14 — Stockholders’ Equity

Accumulated Other Comprehensive Income (“AOCI”)

The following table presents the changes in the balances of each component of AOCI, net of tax:

Unrealized

Gains (Losses)

Foreign

on Available

Currency

for Sale 

    

Translation

    

Securities

    

Total

(in thousands)

Balance - December 31, 2016

$

1,797

$

(20)

$

1,777

Other comprehensive income (loss)

42

(7)

35

Balance - December 31, 2017

1,839

(27)

1,812

Other comprehensive income (loss)

(3)

11

8

Balance - December 31, 2018

1,836

(16)

1,820

Other comprehensive income (loss)

 

25

 

49

 

74

Balance - December 31, 2019

$

1,861

$

33

$

1,894

The Company did not allocate additional tax expense (benefit) to other comprehensive income (loss) for all years presented as the Company is in a full valuation allowance position such that a deferred tax asset related to amounts recognized in other comprehensive income is not regarded as realizable on a more-likely-than-not basis.

Preferred Stock

The Board of Directors has authority under the Company’s Certificate of Incorporation to issue shares of preferred stock, par value $0.01, with voting and economic rights to be determined by the Board of Directors. As of December 31, 2019, no preferred shares have been issued.

Treasury Stock

The share repurchase program authorized by the Company’s Board of Directors in October 2015 expired on October 28, 2017. On December 11, 2017, the Company’s Board of Directors authorized a new program to repurchase up to $100 million of the Company’s common stock to be completed through December 11, 2019. At the end of the program, $14.3 million of the $100 million had been utilized.

The Company records treasury stock purchases under the cost method using the first-in, first-out (“FIFO”) method. Upon reissuance of treasury stock, amounts in excess of the acquisition cost are credited to additional paid-in capital. If the Company reissues treasury stock at an amount below its acquisition cost and if additional paid-in capital associated with prior treasury stock transactions is insufficient to cover the difference between the acquisition cost and the reissue price, this difference is charged to accumulated deficit.

F-32

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Note 15 — Stock Plans

Share-based incentive awards are provided to employees under the terms of the Company’s equity incentive compensation plans (the “Plans”), which are administered by the Compensation Committee of the Board of Directors. The 2019 Plan originated as the 2010 Stock Incentive Plan and was originally approved by the Company’s shareholders in May 2010. This Plan was subsequently amended, as approved by shareholders, in 2013, 2016, and 2019 (at which time the Plan was renamed the 2019 Stock Incentive Plan (as amended to date, the “2019 Plan”). The Company’s employees, non-employee directors, and consultants are eligible to receive awards under the 2019 Plan, which can include non-qualified stock options, incentive stock options, restricted share awards (“RSAs”), restricted share units (“RSUs”), performance share awards (“PSAs”), performance share units (“PSUs”), share appreciation rights, dividend equivalent rights, or any combination thereof. The Company settles awards under the Plans with newly issued shares or with shares held in treasury.

In 2013, the Board of Directors granted equity awards to certain employees under the Company’s 2013 Inducement Stock Incentive Plan (the “Inducement Plan”). The Company issued 124,500 stock option shares and 87,000 RSUs under this plan. Stock options under this plan vest over a three year period and have a 10-year term, and RSUs under this plan vest over a two or four year period. At December 31, 2013, the Inducement Plan was merged into the 2019 Plan and is considered an inactive plan with no further shares available for grant. At December 31, 2019, there are 2,000 option shares and no RSUs outstanding under the Inducement Plan.

The Company is authorized to issue up to 13.3 million shares under the 2019 Plan. Option awards are granted with an exercise price equal to the closing price of the Company’s common stock on the trading day prior to the date of grant; option awards generally vest over a three year period and have a seven or ten year term. RSAs and RSUs generally vest over one to five years. Certain option and share awards provide for accelerated vesting if there is a change in control, as defined in the 2019 Plan. At December 31, 2019, there are 1.1 million option shares and 0.9 million RSUs and PSUs outstanding under the 2019 Plan.

During 2016, the Company’s Board of Directors approved the 2016 Employee Stock Purchase Plan (“ESPP”). The Company is authorized to issue up to 1.5 million shares under the ESPP, including additional shares authorized under a plan amendment approved by shareholders in 2019. Under the ESPP, substantially all employees in the U.S. may purchase the Company’s common stock through payroll deductions at a price equal to 85 percent of the lower of the fair market value of the Company’s common stock at the beginning or end of each six-month offer period, as defined in the ESPP, and subject to certain limits. The ESPP was approved by the Company’s shareholders.

During 2017, in connection with the acquisition of Ultratech, the Company assumed certain restricted stock units (the “Assumed RSUs”) available and outstanding under the Ultratech, Inc. 1993 Stock Option/Stock Issuance Plan, as amended (the “Ultratech Plan”). The Assumed RSUs remain subject to the terms set forth in the award agreement governing the award and the Ultratech Plan, except that the Assumed RSUs relate to shares of Company common stock and the number of restricted stock units was adjusted pursuant to the terms of the acquisition to reflect the difference in the value of a share of Company common stock and a share of Ultratech common stock prior to closing the acquisition. The Assumed RSUs were converted into 338,144 restricted stock units of the Company and generally vest over 50 months. After the acquisition and notwithstanding any other provisions of the Ultratech Plan, no further grants will be made under the Ultratech Plan, and the Company is solely maintaining the Ultratech Plan with respect to the Assumed RSUs. At December 31, 2019, there are 7,483 RSUs outstanding under the Ultratech Plan.

Shares Reserved for Future Issuance

At December 31, 2019, the Company has 6.0 million shares reserved to cover exercises of outstanding stock options, vesting of RSUs, and additional grants under the 2019 Plan. At December 31, 2019, the Company has 0.5 million shares reserved to cover future issuances under the ESPP Plan.

F-33

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Share-Based Compensation

The Company recognized share-based compensation in the following line items in the Consolidated Statements of Operations for the periods indicated:

For the year ended December 31,

    

2019

    

2018

    

2017

(in thousands)

Cost of sales

 

$

1,903

 

$

1,885

 

$

2,505

Research and development

3,340

3,611

2,957

Selling, general, and administrative

9,630

9,417

12,851

Restructuring

397

1,161

1,880

Acquisition costs

 

 

 

4,203

Total

$

15,270

$

16,074

$

24,396

The Company did not realize any tax benefits associated with share-based compensation for the years ended December 31, 2019, 2018, and 2017 due to the full valuation allowance on its U.S. deferred tax assets. See Note 17, “Income Taxes” for additional information. The Company capitalized an immaterial amount of share-based compensation into inventory for the years ended December 31, 2019, 2018, and 2017.

Unrecognized share-based compensation costs at December 31, 2019 are summarized below:

    

Unrecognized

    

Weighted

Share-Based

Average Period

Compensation

Expected to be

Costs

Recognized

(in thousands)

(in years)

Stock option awards

 

$

Restricted stock units

1,884

2.4

Restricted stock awards

 

15,431

2.5

Performance share units

 

5,464

1.8

Total unrecognized share-based compensation cost

 

$

22,779

2.3

Stock Option Awards

Stock options are awards issued to employees that entitle the holder to purchase shares of the Company’s stock at a fixed price. At December 31, 2019, options outstanding that have vested and are expected to vest are as follows:

Weighted

Number

Weighted

Average

Aggregate

of

Average

Remaining

Intrinsic

    

Shares

    

Exercise Price

    

Contractual Life

    

Value

(in thousands)

(in years)

(in thousands)

Vested

1,119

$

34.88

2.0

Expected to vest

 

Total

1,119

34.88

2.0

The aggregate intrinsic value represents the difference between the option exercise price and $14.69, the closing price of the Company’s common stock on December 31, 2019, the last trading day of the Company’s fiscal year as reported on the NASDAQ Global Select Market.

F-34

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Additional information with respect to stock option activity:

Weighted 

Number of

Average

    

Shares

    

Exercise Price

(in thousands)

Balance - December 31, 2016

1,576

$

35.18

Exercised

(18)

 

30.03

Expired or forfeited

(164)

 

37.47

Balance - December 31, 2017

1,394

34.97

Expired or forfeited

(172)

 

36.21

Balance - December 31, 2018

1,222

34.80

Expired or forfeited

(103)

33.97

Balance - December 31, 2019

1,119

34.88

The following table summarizes stock option information at December 31, 2019:

Options Outstanding and Exercisable

    

    

    

Weighted

    

Aggregate

Average

Weighted

Intrinsic

Remaining

Average

Range of Exercise Prices

Shares

Value

Contractual Life

Exercise Price

(in thousands)

(in thousands)

(in years)

$20.00 - $30.00

 

20

$

2.6

$

27.83

$30.01 - $40.00

969

2.1

32.81

$40.01 - $50.00

 

10

 

 

0.8

 

46.14

$50.01 - $60.00

 

120

 

1.4

 

51.70

 

1,119

$

2.0

34.88

The following table summarizes information on options exercised for the periods indicated:

Year ended December 31,

    

2019

    

2018

    

2017

(in thousands)

Cash received from options exercised

$

$

$

431

Intrinsic value of options exercised

$

$

$

51

RSAs, RSUs, PSAs, PSUs

RSAs are stock awards issued to employees that are subject to specified restrictions and a risk of forfeiture. RSUs are stock awards issued to employees that entitle the holder to receive shares of common stock as the awards vest. PSAs and PSUs are awards that result in an issuance of shares of common stock to employees if certain performance or market conditions are achieved. All of these awards typically vest over one to five years and vesting is subject to the employee's continued service with the Company and, in the case of performance awards, meeting certain performance or market conditions. The fair value of the awards is determined and fixed based on the closing price of the Company’s common stock on the trading day prior to the date of grant, or, in the case of performance awards with market conditions, fair value is determined using a Monte Carlo simulation.

F-35

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

The following table summarizes the equity activity of non-vested restricted shares and performance shares:

    

    

Weighted

Average

Number of

Grant Date

Shares

Fair Value

(in thousands)

Balance - December 31, 2016

 

1,949

$

23.85

Granted

 

674

29.22

Performance award adjustments

(25)

20.95

Assumed from Ultratech

338

31.75

Vested

 

(831)

27.67

Forfeited

(225)

26.29

Balance - December 31, 2017

1,880

25.41

Granted

1,257

17.37

Performance award adjustments

(5)

32.67

Vested

(523)

26.39

Forfeited

(391)

24.66

Balance - December 31, 2018

2,218

20.74

Granted

1,107

11.53

Performance award adjustments

(25)

28.91

Vested

(768)

21.77

Forfeited

(275)

18.48

Balance - December 31, 2019

2,257

16.20

The total fair value of shares that vested during the years ended December 31, 2019, 2018, and 2017 was $8.8 million, $9.1 million, and $22.3 million, respectively. For performance awards, the final number of shares earned will vary depending on the achievement of the actual results relative to the performance or market conditions. Each performance award is included in the table above at the grant date target share amount until the end of the performance period if not previously forfeited.

The fair value of performance awards with market conditions is estimated on the date of grant using a Monte Carlo simulation. Estimates of fair value are not intended to predict actual future events or the value ultimately realized by employees who receive these awards. The weighted average fair value and the assumptions used in calculating such values during fiscal years 2019 and 2018 for performance awards with market conditions were based on estimates at the date of grant as follows:

Year ended December 31,

2019

    

2018

    

Weighted average fair value

$

16.45

$

15.58

Dividend yield

0

%  

0

%  

Expected volatility factor(1)

53

%  

49

%  

Risk-free interest rate(2)

2.37

%  

2.88

%  

Expected life (in years)(3)

2.8

 

3.0

 

(1)Expected volatility is measured using historical daily price changes of the Company’s stock over the respective expected term.
(2)The risk-free rate for periods within the contractual term is based on the U.S. Treasury yield curve in effect at the time of grant.
(3)The expected life is the number of years the Company estimates that the awards will be outstanding prior to exercise.

F-36

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Employee Stock Purchase Plan

For the years ended December 31, 2019, 2018, and 2017 the Company received cash proceeds of $3.1 million, $3.1 million, and $2.6 million, and issued shares of 395,941, 332,096, and 163,000, respectively, under the ESPP Plan. The weighted average estimated values of employee purchase rights as well as the weighted average assumptions that were used in calculating such values during fiscal years 2019, 2018, and 2017 were based on estimates at the date of grant as follows:

Year ended December 31,

 

2019

    

2018

    

2017

 

Weighted average fair value

$

2.96

$

4.94

$

7.09

Dividend yield

0

%  

0

%  

0

%

Expected volatility factor(1)

60

%  

62

%  

36

%

Risk-free interest rate(2)

2.41

%  

1.81

%  

0.99

%

Expected life (in years)(3)

0.5

 

0.5

 

0.5

(1)Expected volatility is measured using historical daily price changes of the Company’s stock over the respective expected term.
(2)The risk-free rate for periods within the contractual term is based on the U.S. Treasury yield curve in effect at the time of grant.
(3)The expected life is the number of years the Company estimates that the purchase rights will be outstanding prior to exercise.

Note 16 — Retirement Plans

The Company maintains a defined contribution plan for the benefit of its U.S. employees. The plan is intended to be tax qualified and contains a qualified cash or deferred arrangement as described under Section 401(k) of the Internal Revenue Code. Eligible participants may elect to contribute a percentage of their base compensation, and the Company may make matching contributions, generally equal to fifty cents for every dollar employees contribute, up to the lesser of three percent of the employee’s eligible compensation or three percent of the maximum the employee is permitted to contribute under then current Internal Revenue Code limitations. Generally, the plan calls for vesting in the Company contributions over the initial five years of a participant’s employment. In addition, the Company assumed Ultratech’s 401(k) plan as a result of the merger, and Ultratech’s plan was merged into the Company’s existing plan effective January 1, 2018. The Company provided employer contributions associated with these plans of approximately $2.4 million, $2.0 million, and $1.8 million for the years ended December 31, 2019, 2018, and 2017, respectively.

Note 17 — Income Taxes

The amounts of income (loss) before income taxes attributable to domestic and foreign operations were as follows:

Year ended December 31,

    

2019

    

2018

    

2017

(in thousands)

Domestic

$

(78,486)

$

(286,561)

$

(101,573)

Foreign

 

530

 

(147,273)

 

12,583

Total

$

(77,956)

$

(433,834)

$

(88,990)

F-37

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Significant components of the expense (benefit) for income taxes consisted of the following:

Year ended December 31,

    

2019

    

2018

    

2017

(in thousands)

Current:

Federal

$

$

(1,682)

$

Foreign

 

304

 

2,518

 

(2,246)

State and local

 

113

 

38

 

15

Total current expense (benefit) for income taxes

 

417

 

874

 

(2,231)

Deferred:

Federal

 

162

 

205

 

(35,912)

Foreign

 

116

 

(27,932)

 

1,291

State and local

 

82

 

107

 

(742)

Total deferred expense (benefit) for income taxes

 

360

 

(27,620)

 

(35,363)

Total expense (benefit) for income taxes

$

777

$

(26,746)

$

(37,594)

The income tax expense was reconciled to the tax expense computed at the U.S. federal statutory tax rate as follows:

Year ended December 31,

    

2019

    

2018

    

2017

(in thousands)

Income tax expense (benefit) at U.S. statutory rates

$

(16,396)

$

(91,105)

$

(31,147)

State taxes, net of U.S. federal impact

 

(835)

 

(2,848)

 

(2,523)

Effect of international operations

 

785

 

11,847

 

10,158

Research and development tax credit

 

(1,692)

 

(2,230)

 

620

Net change in valuation allowance

 

15,098

 

7,747

 

1,883

Change in accrual for unrecognized tax benefits

 

1,232

 

2,868

 

(4,772)

Share-based compensation

1,947

1,848

99

Effect of 2017 Tax Act

(1,690)

(11,344)

Asset impairment

495

46,872

Other

 

143

 

(55)

 

(568)

Total expense (benefit) for income taxes

$

777

$

(26,746)

$

(37,594)

The Company recognized the income tax effects of the 2017 Tax Act in its 2017 financial statements in accordance with SAB 118, which provided SEC staff guidance for the application of ASC 740 in the reporting period in which the 2017 Tax Act was signed into law. As such, the Company’s 2017 financial results included provisional amounts for specific income tax effects of the 2017 Tax Act for which the accounting under ASC 740 was incomplete but for which a reasonable estimate could be determined. During the year ended December 31, 2018, the Company finalized the accounting for the tax effects of 2017 Tax Act based on legislative updates currently available and recorded an additional income tax benefit of $1.7 million for alternative minimum tax credits that became refundable in accordance with the 2017 Tax Act. The Company also reported an increase in deferred tax assets of $6.8 million as a result of adjustments to tax attributes utilized for one-time transition tax, which was offset by a full valuation allowance.

The most significant impacts of the 2017 Tax Act on the Company’s federal income taxes for the year ended December 31, 2017 were as follows:

Reduction of the U.S. Corporate Income Tax Rate

The Company measures deferred tax assets and liabilities using enacted tax rates that will apply in the years in which the temporary differences are expected to be recovered or paid. Accordingly, the Company’s deferred tax assets and liabilities were re-measured as of December 22, 2017 to reflect the reduction in the U.S. corporate income tax rate from

F-38

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

35 percent to 21 percent. The Company recorded an income tax benefit of $4.8 million for the year ended December 31, 2017, as the net deferred tax assets were reduced by $25.6 million with a corresponding valuation allowance reduction of $30.4 million.

One-Time Transition Tax on Foreign Earnings

As of December 31, 2017, the Company had $180.1 million of foreign earnings that was subject to the one-time transition tax. The Company used its 2017 and carryforward net operating losses to offset the impact of the transition tax. As the Company maintains a full valuation allowance against its U.S. deferred tax assets, the Company did not record an income tax expense related to the transition tax for the year ended December 31, 2017.

Valuation Allowance

The 2017 Tax Act modified the Net Operating Loss ("NOL") provisions to provide for an indefinite carryforward of NOLs arising in tax years beginning after December 31, 2017. The 2017 Tax Act also limits the amount of NOL deductions that can be used in any one year to 80 percent of the taxpayer’s taxable income, effective with respect to NOLs arising in tax years beginning after December 31, 2017. The Company recognized an income tax benefit of $6.5 million for the year ended December 31, 2017 related to a reduction in the Company’s valuation allowance as a result of the Company scheduling out the reversals of its net deferred tax assets which resulted in tax amortization on indefinite-lived intangible assets becoming available to offset existing deferred tax assets that are now expected to have an indefinite life.

Deferred income taxes reflect the effect of temporary differences between the carrying amounts of assets and liabilities recognized for financial reporting purposes and the amounts recognized for tax purposes. The tax effects of the temporary differences were as follows:

December 31,

    

2019

    

2018

(in thousands)

Deferred tax assets: 

Inventory valuation

 

$

11,170

$

8,943

Net operating losses

63,342

 

67,787

Credit carry forwards

55,103

52,592

Warranty and installation accruals

1,391

 

1,695

Share-based compensation

6,296

 

6,981

Other

9,496

 

2,182

Total deferred tax assets

146,798

 

140,180

Valuation allowance

(130,053)

 

(114,955)

Net deferred tax assets

16,745

 

25,225

Deferred tax liabilities: 

Purchased intangible assets

9,345

 

15,401

Convertible Senior Notes

8,831

11,265

Depreciation

2,668

 

2,380

Total deferred tax liabilities

20,844

 

29,046

Net deferred taxes

 

$

(4,099)

$

(3,821)

The Company is no longer permanently reinvesting future earnings from certain foreign jurisdictions and has accrued for foreign tax withholdings of $0.6 million on its unremitted earnings as of December 31, 2019.

F-39

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

At December 31, 2019, the Company had U.S. federal NOL carryforwards of approximately $270.9 million, of which $6.4 million has an indefinite carryforward period, with the remaining expiring in varying amounts between 2033 and 2037, if not utilized. In connection with the Ultratech acquisition, the Company has $120.8 million of historical NOL carryforwards which are subject to an annual limitation. The Company has $3.5 million of capital loss carryforwards that expire in 2021. At December 31, 2019, the Company had U.S. federal research and development credits of $29.8 million that will expire between 2020 and 2039. The Ultratech acquisition resulted in the carryover of $11.4 million of research and development credit carryforwards, which are subject to an annual limitation. The Company also has $9.4 million of foreign tax credits that expire in 2027. Additionally, the Company has state and local NOL carryforwards of approximately $127.5 million (a net deferred tax asset of $8.1 million, net of federal tax benefits and before the valuation allowance) that will expire between 2020 and 2039. Finally, the Company has state credits of $28.4 million, some of which are indefinite and others that will expire between 2020 and 2034.

The Company makes assessments to estimate if sufficient taxable income will be generated in the future to use existing deferred tax assets. As of December 31, 2019, the Company continued to have a cumulative three year loss with respect to its U.S. operations. As such, the Company has recorded a valuation allowance against its U.S. deferred tax assets. During 2019, the Company’s valuation allowance increased by approximately $15.1 million.

A roll-forward of the Company’s uncertain tax positions for all U.S. federal, state, and foreign tax jurisdictions was as follows:

December 31,

    

2019

    

2018

    

2017

(in thousands)

Balance at beginning of year

$

11,137

$

8,269

$

7,452

Additions for tax positions related to current year

 

3,075

 

2,154

 

511

Additions for tax positions related to prior years

 

21

 

1,721

 

3

Reductions for tax positions related to prior years

 

(1,814)

 

(934)

 

(4,877)

Reductions due to the lapse of the statute of limitations

 

 

(26)

 

(122)

Settlements

 

(50)

 

(47)

 

(287)

Additions for business combination

5,589

Balance at end of year

$

12,369

$

11,137

$

8,269

If the amount of unrecognized tax benefits at December 31, 2019 were recognized, the Company’s income tax provision would decrease by $1.5 million. The gross amount of interest and penalties accrued in income tax payable in the Consolidated Balance Sheets was approximately $0.4 million and $0.3 million at December 31, 2019 and 2018, respectively.

The Company, or one of its subsidiaries, files income tax returns in the United States federal jurisdiction, and various state, local, and foreign jurisdictions. All material consolidated federal income tax matters have been concluded for years through 2016 subject to subsequent utilization of NOLs generated in such years. All material state and local income tax matters have been reviewed through 2012. The majority of the Company’s foreign jurisdictions have been reviewed through 2015. The Company’s major foreign jurisdictions’ statutes of limitation remain open with respect to the tax years 2017 and 2018 for China, 2015 through 2018 for Germany and Singapore, and 2018 for Taiwan. The Company does not anticipate that its uncertain tax position will change significantly within the next twelve months subject to the completion of the ongoing tax audits and any resultant settlement.

Note 18 — Segment Reporting and Geographic Information

The Company operates and measures its results in one operating segment and therefore has one reportable segment: the development, manufacture, sales, and support of semiconductor and thin film process equipment primarily sold to make

F-40

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

electronic devices. The Company’s Chief Operating Decision Maker, the Chief Executive Officer, evaluates performance of the Company and makes decisions regarding the allocation of resources based on total Company results.

Sales by market is as follows:

For the year ended December 31,

    

2019

    

2018

    

2017

(in thousands)

Sales by end-market

Front-End Semiconductor

$

120,128

$

62,582

$

40,319

Advanced Packaging, MEMS & RF Filters

 

66,909

 

90,775

 

67,406

LED Lighting, Display & Compound Semiconductor

72,791

249,974

248,615

Scientific & Industrial

 

159,521

 

138,751

 

119,346

Total

$

419,349

$

542,082

$

475,686

The Company’s significant operations outside the United States include sales and service offices in China, Europe, and Rest of World. For geographic reporting, sales are attributed to the location in which the customer facility is located.

Sales and long-lived tangible assets by geographic region are as follows:

Net Sales to Unaffiliated Customers

Long-lived Tangible Assets

    

2019

    

2018

    

2017

    

2019

    

2018

    

2017

(in thousands)

United States

$

126,160

$

125,659

$

93,433

$

75,187

$

78,503

$

81,046

China

 

71,078

 

194,032

 

106,674

 

130

 

81

 

64

EMEA(1)

57,351

89,102

72,979

143

205

231

Rest of World

 

164,760

 

133,289

 

202,600

 

251

 

1,495

 

3,717

Total

$

419,349

$

542,082

$

475,686

$

75,711

$

80,284

$

85,058

(1)EMEA consists of Europe, the Middle East, and Africa

Note 19 Selected Quarterly Financial Information (unaudited)

The following table presents selected unaudited financial data for each fiscal quarter of 2019 and 2018. Although unaudited, this information has been prepared on a basis consistent with the Company’s audited Consolidated Financial Statements and, in the opinion of management, reflects all adjustments (consisting only of normal recurring adjustments) that are considered necessary for a fair presentation of this information in accordance with GAAP. Such quarterly results are not necessarily indicative of future results of operations.

Fiscal 2019

Fiscal 2018

    

Q1

    

Q2

    

Q3

    

Q4

    

Q1

    

Q2

    

Q3

    

Q4

(in thousands, except per share amounts)

Net sales

$

99,371

$

97,822

$

108,954

$

113,202

$

158,574

$

157,779

$

126,757

$

98,972

Gross profit

34,716

36,285

42,223

44,970

56,680

55,395

46,385

35,259

Net income (loss)

(18,530)

(15,565)

(11,767)

(32,871)

(15,827)

(237,634)

(8,953)

(144,674)

Basic income (loss) per common share

(0.40)

(0.33)

(0.25)

(0.69)

(0.34)

(5.02)

(0.19)

(3.11)

Diluted income (loss) per common share

(0.40)

(0.33)

(0.25)

(0.69)

(0.34)

(5.02)

(0.19)

(3.11)

F-41

Table of Contents

Veeco Instruments Inc. and Subsidiaries

Notes to Consolidated Financial Statements (Continued)

Asset Impairments

During the fourth quarter of 2019, the Company recorded a non-cash impairment charge of $21 million related to its equity investment in Kateeva which is included in “Other income (expense), net” in the Consolidated Statements of Operations, as well as a non-cash impairment charge of $4.0 million related to the classification of a disposal group as held for sale which is included in “Asset impairment” in the Consolidated Statements of Operations. Refer to Note 4, “Investments,” and Note 5, “Acquisitions and Dispositions,” for additional information.

During the second quarter of 2018, the Company recorded non-cash impairment charges related to the Ultratech asset group of $216.4 million and $35.9 million for definite-lived intangible assets and in-process research and development assets, respectively. Additionally, during the fourth quarter of 2018, the Company recorded a non-cash goodwill impairment charge of $122.8 million. Refer to Note 6, “Goodwill and Intangible Assets,” for additional information.

F-42

Table of Contents

Schedule II — Valuation and Qualifying Accounts

Additions

Charged

    

Balance at

    

(Credited)

    

Charged to

    

    

Balance at

Beginning

 to Costs and

Other

End of

Deducted from asset accounts:

of Period

Expenses

Accounts

Deductions

Period

(in thousands)

Year ended December 31, 2019

Allowance for doubtful accounts

$

270

$

392

$

$

(60)

$

602

Valuation allowance in net deferred tax assets

 

114,955

 

15,098

 

 

 

130,053

$

115,225

$

15,490

$

$

(60)

$

130,655

Year ended December 31, 2018

Allowance for doubtful accounts

$

270

$

$

$

$

270

Valuation allowance in net deferred tax assets

 

100,456

 

14,499

 

 

 

114,955

$

100,726

$

14,499

$

$

$

115,225

Year ended December 31, 2017

Allowance for doubtful accounts

$

286

$

99

$

$

(115)

$

270

Valuation allowance in net deferred tax assets

 

104,744

 

(49,589)

 

45,301

 

 

100,456

$

105,030

$

(49,490)

$

45,301

$

(115)

$

100,726

S-1

Similar companies

See also ASML HOLDING NV
See also LAM RESEARCH CORP - Annual report 2023 (10-K 2023-06-25) Annual report 2023 (10-Q 2023-09-24)
See also Azenta, Inc. - Annual report 2022 (10-K 2022-09-30) Annual report 2023 (10-Q 2023-06-30)
See also AXCELIS TECHNOLOGIES INC - Annual report 2022 (10-K 2022-12-31) Annual report 2023 (10-Q 2023-09-30)
See also Cricut, Inc. - Annual report 2022 (10-K 2022-12-31) Annual report 2023 (10-Q 2023-09-30)